Warning: Permanently added '18.207.4.44' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/7390567-rhel-9-x86_64 --chroot rhel-9-x86_64 Version: 0.72 PID: 11627 Logging PID: 11628 Task: {'allow_user_ssh': False, 'appstream': False, 'background': False, 'build_id': 7390567, 'buildroot_pkgs': [], 'chroot': 'rhel-9-x86_64', 'enable_net': False, 'fedora_review': False, 'git_hash': '67ad5272589a930f0bc962b249d8d7910cb25095', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/vowstar/verilator/verilator', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'verilator', 'package_version': '5.020-1', 'project_dirname': 'verilator', 'project_name': 'verilator', 'project_owner': 'vowstar', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/vowstar/verilator/rhel-9-x86_64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}], 'sandbox': 'vowstar/verilator--vowstar', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'submitter': 'vowstar', 'tags': [], 'task_id': '7390567-rhel-9-x86_64', 'timeout': 18000, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/vowstar/verilator/verilator /var/lib/copr-rpmbuild/workspace/workdir-7bymn3l8/verilator --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/vowstar/verilator/verilator', '/var/lib/copr-rpmbuild/workspace/workdir-7bymn3l8/verilator', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-7bymn3l8/verilator'... Running: git checkout 67ad5272589a930f0bc962b249d8d7910cb25095 -- cmd: ['git', 'checkout', '67ad5272589a930f0bc962b249d8d7910cb25095', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-7bymn3l8/verilator rc: 0 stdout: stderr: Note: switching to '67ad5272589a930f0bc962b249d8d7910cb25095'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 67ad527 automatic import of verilator Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-7bymn3l8/verilator rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources INFO: Downloading verilator-5.020.tar.gz INFO: Reading stdout from command: curl --help all INFO: Calling: curl -H Pragma: -o verilator-5.020.tar.gz --location --connect-timeout 60 --retry 3 --retry-delay 10 --remote-time --show-error --fail --retry-all-errors https://copr-dist-git.fedorainfracloud.org/repo/pkgs/vowstar/verilator/verilator/verilator-5.020.tar.gz/md5/41c3fa1e22e8c8ecea760a8394f8a329/verilator-5.020.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 100 3443k 100 3443k 0 0 138M 0 --:--:-- --:--:-- --:--:-- 140M /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated Running (timeout=18000): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-7bymn3l8/verilator/verilator.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-7bymn3l8/verilator --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1714412470.954286 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.5 starting (python version = 3.12.1, NVR = mock-5.5-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-7bymn3l8/verilator/verilator.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-7bymn3l8/verilator --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1714412470.954286 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-7bymn3l8/verilator/verilator.spec) Config(rhel-9-x86_64) Start: clean chroot Finish: clean chroot Mock Version: 5.5 INFO: Mock Version: 5.5 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/rhel-9-x86_64-bootstrap-1714412470.954286/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.access.redhat.com/ubi9/ubi INFO: Pulling image: registry.access.redhat.com/ubi9/ubi INFO: Copy content of container registry.access.redhat.com/ubi9/ubi to /var/lib/mock/rhel-9-x86_64-bootstrap-1714412470.954286/root INFO: Checking that registry.access.redhat.com/ubi9/ubi image matches host's architecture INFO: mounting registry.access.redhat.com/ubi9/ubi with podman image mount INFO: image registry.access.redhat.com/ubi9/ubi as /var/lib/containers/storage/overlay/797935172f3203db6f4f21a5a7e377a375701b34693a5a68b5813722d90c7601/merged INFO: umounting image registry.access.redhat.com/ubi9/ubi (/var/lib/containers/storage/overlay/797935172f3203db6f4f21a5a7e377a375701b34693a5a68b5813722d90c7601/merged) with podman image umount INFO: Package manager dnf detected and used (fallback) INFO: Not updating bootstrap chroot, bootstrap_image_ready=True Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/rhel-9-x86_64-1714412470.954286/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.16.1.3-27.el9_3.x86_64 python3-dnf-4.14.0-8.el9.noarch python3-dnf-plugins-core-4.3.0-11.el9_3.noarch yum-4.14.0-8.el9.noarch Start: installing minimal buildroot with dnf No matches found for the following disable plugin patterns: local, spacewalk, versionlock Updating Subscription Management repositories. Unable to read consumer identity This system is not registered with an entitlement server. You can use subscription-manager to register. Copr repository 106 kB/s | 11 kB 00:00 Red Hat Enterprise Linux - BaseOS 32 MB/s | 19 MB 00:00 Red Hat Enterprise Linux - AppStream 76 MB/s | 31 MB 00:00 Red Hat Enterprise Linux - CodeReady Linux Buil 20 MB/s | 7.1 MB 00:00 Dependencies resolved. ================================================================================ Package Arch Version Repo Size ================================================================================ Installing: bash x86_64 5.1.8-6.el9_1 baseos 1.7 M bzip2 x86_64 1.0.8-8.el9 baseos 60 k coreutils x86_64 8.32-34.el9 baseos 1.2 M cpio x86_64 2.13-16.el9 baseos 279 k diffutils x86_64 3.7-12.el9 baseos 402 k findutils x86_64 1:4.8.0-6.el9 baseos 550 k gawk x86_64 5.1.0-6.el9 baseos 1.0 M glibc-minimal-langpack x86_64 2.34-83.el9_3.12 baseos 31 k grep x86_64 3.6-5.el9 baseos 273 k gzip x86_64 1.12-1.el9 baseos 167 k info x86_64 6.7-15.el9 baseos 228 k patch x86_64 2.7.6-16.el9 appstream 130 k redhat-release x86_64 9.3-0.7.el9 baseos 45 k redhat-rpm-config noarch 201-1.el9 appstream 76 k rpm-build x86_64 4.16.1.3-27.el9_3 appstream 70 k sed x86_64 4.8-9.el9 baseos 309 k tar x86_64 2:1.34-6.el9_1 baseos 889 k unzip x86_64 6.0-56.el9 baseos 186 k util-linux x86_64 2.37.4-15.el9 baseos 2.3 M which x86_64 2.21-29.el9 baseos 45 k xz x86_64 5.2.5-8.el9_0 baseos 230 k Installing dependencies: alternatives x86_64 1.24-1.el9 baseos 42 k audit-libs x86_64 3.0.7-104.el9 baseos 120 k basesystem noarch 11-13.el9 baseos 8.0 k binutils x86_64 2.35.2-42.el9_3.1 baseos 4.6 M binutils-gold x86_64 2.35.2-42.el9_3.1 baseos 734 k bzip2-libs x86_64 1.0.8-8.el9 baseos 42 k ca-certificates noarch 2023.2.60_v7.0.306-90.1.el9_2 baseos 846 k coreutils-common x86_64 8.32-34.el9 baseos 2.0 M cracklib x86_64 2.9.6-27.el9 baseos 99 k cracklib-dicts x86_64 2.9.6-27.el9 baseos 3.6 M crypto-policies noarch 20230731-1.git94f0e2c.el9_3.1 baseos 87 k curl x86_64 7.76.1-26.el9_3.3 baseos 298 k cyrus-sasl-lib x86_64 2.1.27-21.el9 baseos 774 k debugedit x86_64 5.0-4.el9 appstream 81 k dwz x86_64 0.14-3.el9 appstream 130 k ed x86_64 1.14.2-12.el9 baseos 78 k efi-srpm-macros noarch 6-2.el9_0 appstream 24 k elfutils x86_64 0.189-3.el9 baseos 540 k elfutils-debuginfod-client x86_64 0.189-3.el9 baseos 39 k elfutils-default-yama-scope noarch 0.189-3.el9 baseos 13 k elfutils-libelf x86_64 0.189-3.el9 baseos 196 k elfutils-libs x86_64 0.189-3.el9 baseos 258 k file x86_64 5.39-14.el9 baseos 52 k file-libs x86_64 5.39-14.el9 baseos 593 k filesystem x86_64 3.16-2.el9 baseos 4.7 M fonts-srpm-macros noarch 1:2.0.5-7.el9.1 appstream 29 k gdb-minimal x86_64 10.2-11.1.el9_3 appstream 3.5 M gdbm-libs x86_64 1:1.19-4.el9 baseos 56 k ghc-srpm-macros noarch 1.5.0-6.el9 appstream 9.0 k glibc x86_64 2.34-83.el9_3.12 baseos 1.9 M glibc-common x86_64 2.34-83.el9_3.12 baseos 315 k glibc-gconv-extra x86_64 2.34-83.el9_3.12 baseos 1.7 M gmp x86_64 1:6.2.0-13.el9 baseos 319 k go-srpm-macros noarch 3.2.0-2.el9 appstream 28 k groff-base x86_64 1.22.4-10.el9 baseos 1.1 M kernel-srpm-macros noarch 1.0-13.el9 appstream 17 k keyutils-libs x86_64 1.6.3-1.el9 baseos 34 k krb5-libs x86_64 1.21.1-1.el9 baseos 770 k libacl x86_64 2.3.1-3.el9 baseos 26 k libarchive x86_64 3.5.3-4.el9 baseos 392 k libattr x86_64 2.5.1-3.el9 baseos 20 k libblkid x86_64 2.37.4-15.el9 baseos 110 k libbrotli x86_64 1.0.9-6.el9 baseos 317 k libcap x86_64 2.48-9.el9_2 baseos 74 k libcap-ng x86_64 0.8.2-7.el9 baseos 36 k libcom_err x86_64 1.46.5-3.el9 baseos 29 k libcurl x86_64 7.76.1-26.el9_3.3 baseos 286 k libdb x86_64 5.3.28-53.el9 baseos 740 k libeconf x86_64 0.4.1-3.el9_2 baseos 30 k libevent x86_64 2.1.12-6.el9 baseos 268 k libfdisk x86_64 2.37.4-15.el9 baseos 157 k libffi x86_64 3.4.2-8.el9 baseos 40 k libgcc x86_64 11.4.1-2.1.el9 baseos 98 k libgcrypt x86_64 1.10.0-10.el9_2 baseos 508 k libgomp x86_64 11.4.1-2.1.el9 baseos 273 k libgpg-error x86_64 1.42-5.el9 baseos 220 k libidn2 x86_64 2.3.0-7.el9 baseos 105 k libmount x86_64 2.37.4-15.el9 baseos 136 k libnghttp2 x86_64 1.43.0-5.el9_3.1 baseos 75 k libpkgconf x86_64 1.7.3-10.el9 baseos 37 k libpsl x86_64 0.21.1-5.el9 baseos 66 k libpwquality x86_64 1.4.4-8.el9 baseos 123 k libselinux x86_64 3.5-1.el9 baseos 88 k libsemanage x86_64 3.5-2.el9 baseos 121 k libsepol x86_64 3.5-1.el9 baseos 317 k libsigsegv x86_64 2.13-4.el9 baseos 30 k libsmartcols x86_64 2.37.4-15.el9 baseos 66 k libssh x86_64 0.10.4-12.el9_3 baseos 218 k libssh-config noarch 0.10.4-12.el9_3 baseos 11 k libstdc++ x86_64 11.4.1-2.1.el9 baseos 744 k libtasn1 x86_64 4.16.0-8.el9_1 baseos 77 k libunistring x86_64 0.9.10-15.el9 baseos 499 k libutempter x86_64 1.2.1-6.el9 baseos 30 k libuuid x86_64 2.37.4-15.el9 baseos 31 k libverto x86_64 0.3.2-3.el9 baseos 24 k libxcrypt x86_64 4.4.18-3.el9 baseos 120 k libxml2 x86_64 2.9.13-5.el9_3 baseos 751 k libzstd x86_64 1.5.1-2.el9 baseos 332 k lua-libs x86_64 5.4.4-4.el9 baseos 132 k lua-srpm-macros noarch 1-6.el9 appstream 10 k lz4-libs x86_64 1.9.3-5.el9 baseos 69 k mpfr x86_64 4.1.0-7.el9 baseos 329 k ncurses x86_64 6.2-10.20210508.el9 baseos 410 k ncurses-base noarch 6.2-10.20210508.el9 baseos 99 k ncurses-libs x86_64 6.2-10.20210508.el9 baseos 332 k ocaml-srpm-macros noarch 6-6.el9 appstream 9.1 k openblas-srpm-macros noarch 2-11.el9 appstream 8.6 k openldap x86_64 2.6.3-1.el9 baseos 287 k openssl x86_64 1:3.0.7-25.el9_3 baseos 1.2 M openssl-libs x86_64 1:3.0.7-25.el9_3 baseos 2.2 M p11-kit x86_64 0.24.1-2.el9 baseos 387 k p11-kit-trust x86_64 0.24.1-2.el9 baseos 148 k pam x86_64 1.5.1-15.el9 baseos 623 k pcre x86_64 8.44-3.el9.3 baseos 202 k pcre2 x86_64 10.40-2.el9 baseos 239 k pcre2-syntax noarch 10.40-2.el9 baseos 147 k perl-AutoLoader noarch 5.74-480.el9 appstream 23 k perl-B x86_64 1.80-480.el9 appstream 185 k perl-Carp noarch 1.50-460.el9 appstream 31 k perl-Class-Struct noarch 0.66-480.el9 appstream 24 k perl-Data-Dumper x86_64 2.174-462.el9 appstream 59 k perl-Digest noarch 1.19-4.el9 appstream 29 k perl-Digest-MD5 x86_64 2.58-4.el9 appstream 39 k perl-Encode x86_64 4:3.08-462.el9 appstream 1.7 M perl-Errno x86_64 1.30-480.el9 appstream 17 k perl-Exporter noarch 5.74-461.el9 appstream 34 k perl-Fcntl x86_64 1.13-480.el9 appstream 23 k perl-File-Basename noarch 2.85-480.el9 appstream 19 k perl-File-Path noarch 2.18-4.el9 appstream 38 k perl-File-Temp noarch 1:0.231.100-4.el9 appstream 63 k perl-File-stat noarch 1.09-480.el9 appstream 19 k perl-FileHandle noarch 2.03-480.el9 appstream 17 k perl-Getopt-Long noarch 1:2.52-4.el9 appstream 64 k perl-Getopt-Std noarch 1.12-480.el9 appstream 17 k perl-HTTP-Tiny noarch 0.076-461.el9 appstream 57 k perl-IO x86_64 1.43-480.el9 appstream 94 k perl-IO-Socket-IP noarch 0.41-5.el9 appstream 45 k perl-IO-Socket-SSL noarch 2.073-1.el9 appstream 223 k perl-IPC-Open3 noarch 1.21-480.el9 appstream 25 k perl-MIME-Base64 x86_64 3.16-4.el9 appstream 34 k perl-Mozilla-CA noarch 20200520-6.el9 appstream 14 k perl-Net-SSLeay x86_64 1.92-2.el9 appstream 392 k perl-POSIX x86_64 1.94-480.el9 appstream 99 k perl-PathTools x86_64 3.78-461.el9 appstream 92 k perl-Pod-Escapes noarch 1:1.07-460.el9 appstream 22 k perl-Pod-Perldoc noarch 3.28.01-461.el9 appstream 92 k perl-Pod-Simple noarch 1:3.42-4.el9 appstream 229 k perl-Pod-Usage noarch 4:2.01-4.el9 appstream 43 k perl-Scalar-List-Utils x86_64 4:1.56-461.el9 appstream 77 k perl-SelectSaver noarch 1.02-480.el9 appstream 13 k perl-Socket x86_64 4:2.031-4.el9 appstream 58 k perl-Storable x86_64 1:3.21-460.el9 appstream 98 k perl-Symbol noarch 1.08-480.el9 appstream 16 k perl-Term-ANSIColor noarch 5.01-461.el9 appstream 51 k perl-Term-Cap noarch 1.17-460.el9 appstream 24 k perl-Text-ParseWords noarch 3.30-460.el9 appstream 18 k perl-Text-Tabs+Wrap noarch 2013.0523-460.el9 appstream 25 k perl-Time-Local noarch 2:1.300-7.el9 appstream 37 k perl-URI noarch 5.09-3.el9 appstream 125 k perl-base noarch 2.27-480.el9 appstream 18 k perl-constant noarch 1.33-461.el9 appstream 25 k perl-if noarch 0.60.800-480.el9 appstream 16 k perl-interpreter x86_64 4:5.32.1-480.el9 appstream 75 k perl-libnet noarch 3.13-4.el9 appstream 134 k perl-libs x86_64 4:5.32.1-480.el9 appstream 2.2 M perl-mro x86_64 1.23-480.el9 appstream 31 k perl-overload noarch 1.31-480.el9 appstream 47 k perl-overloading noarch 0.02-480.el9 appstream 15 k perl-parent noarch 1:0.238-460.el9 appstream 16 k perl-podlators noarch 1:4.14-460.el9 appstream 118 k perl-srpm-macros noarch 1-41.el9 appstream 9.4 k perl-subs noarch 1.03-480.el9 appstream 13 k perl-vars noarch 1.05-480.el9 appstream 15 k pkgconf x86_64 1.7.3-10.el9 baseos 45 k pkgconf-m4 noarch 1.7.3-10.el9 baseos 16 k pkgconf-pkg-config x86_64 1.7.3-10.el9 baseos 12 k popt x86_64 1.18-8.el9 baseos 69 k publicsuffix-list-dafsa noarch 20210518-3.el9 baseos 59 k pyproject-srpm-macros noarch 1.9.0-1.el9 appstream 16 k python-srpm-macros noarch 3.9-52.el9 appstream 24 k qt5-srpm-macros noarch 5.15.9-1.el9 appstream 9.1 k readline x86_64 8.1-4.el9 baseos 215 k rpm x86_64 4.16.1.3-27.el9_3 baseos 541 k rpm-build-libs x86_64 4.16.1.3-27.el9_3 baseos 90 k rpm-libs x86_64 4.16.1.3-27.el9_3 baseos 310 k rust-srpm-macros noarch 17-4.el9 appstream 11 k setup noarch 2.13.7-9.el9 baseos 150 k shadow-utils x86_64 2:4.9-8.el9 baseos 1.2 M sqlite-libs x86_64 3.34.1-7.el9_3 baseos 622 k systemd-libs x86_64 252-18.el9 baseos 659 k tzdata noarch 2024a-1.el9 baseos 842 k util-linux-core x86_64 2.37.4-15.el9 baseos 466 k xz-libs x86_64 5.2.5-8.el9_0 baseos 94 k zip x86_64 3.0-35.el9 baseos 270 k zlib x86_64 1.2.11-40.el9 baseos 93 k zstd x86_64 1.5.1-2.el9 baseos 552 k Transaction Summary ================================================================================ Install 197 Packages Total download size: 67 M Installed size: 213 M Downloading Packages: (1/197): basesystem-11-13.el9.noarch.rpm 78 kB/s | 8.0 kB 00:00 (2/197): bzip2-1.0.8-8.el9.x86_64.rpm 556 kB/s | 60 kB 00:00 (3/197): bzip2-libs-1.0.8-8.el9.x86_64.rpm 348 kB/s | 42 kB 00:00 (4/197): cracklib-2.9.6-27.el9.x86_64.rpm 1.5 MB/s | 99 kB 00:00 (5/197): ed-1.14.2-12.el9.x86_64.rpm 1.2 MB/s | 78 kB 00:00 (6/197): cpio-2.13-16.el9.x86_64.rpm 2.5 MB/s | 279 kB 00:00 (7/197): grep-3.6-5.el9.x86_64.rpm 3.0 MB/s | 273 kB 00:00 (8/197): filesystem-3.16-2.el9.x86_64.rpm 40 MB/s | 4.7 MB 00:00 (9/197): groff-base-1.22.4-10.el9.x86_64.rpm 13 MB/s | 1.1 MB 00:00 (10/197): info-6.7-15.el9.x86_64.rpm 3.7 MB/s | 228 kB 00:00 (11/197): libacl-2.3.1-3.el9.x86_64.rpm 389 kB/s | 26 kB 00:00 (12/197): libattr-2.5.1-3.el9.x86_64.rpm 260 kB/s | 20 kB 00:00 (13/197): libbrotli-1.0.9-6.el9.x86_64.rpm 4.1 MB/s | 317 kB 00:00 (14/197): libcap-ng-0.8.2-7.el9.x86_64.rpm 582 kB/s | 36 kB 00:00 (15/197): libdb-5.3.28-53.el9.x86_64.rpm 7.9 MB/s | 740 kB 00:00 (16/197): libevent-2.1.12-6.el9.x86_64.rpm 4.1 MB/s | 268 kB 00:00 (17/197): libidn2-2.3.0-7.el9.x86_64.rpm 1.7 MB/s | 105 kB 00:00 (18/197): libgpg-error-1.42-5.el9.x86_64.rpm 2.1 MB/s | 220 kB 00:00 (19/197): libpsl-0.21.1-5.el9.x86_64.rpm 961 kB/s | 66 kB 00:00 (20/197): libsigsegv-2.13-4.el9.x86_64.rpm 465 kB/s | 30 kB 00:00 (21/197): libunistring-0.9.10-15.el9.x86_64.rpm 4.6 MB/s | 499 kB 00:00 (22/197): libutempter-1.2.1-6.el9.x86_64.rpm 344 kB/s | 30 kB 00:00 (23/197): libpwquality-1.4.4-8.el9.x86_64.rpm 676 kB/s | 123 kB 00:00 (24/197): libverto-0.3.2-3.el9.x86_64.rpm 339 kB/s | 24 kB 00:00 (25/197): libxcrypt-4.4.18-3.el9.x86_64.rpm 1.6 MB/s | 120 kB 00:00 (26/197): lz4-libs-1.9.3-5.el9.x86_64.rpm 1.2 MB/s | 69 kB 00:00 (27/197): mpfr-4.1.0-7.el9.x86_64.rpm 5.5 MB/s | 329 kB 00:00 (28/197): libzstd-1.5.1-2.el9.x86_64.rpm 3.0 MB/s | 332 kB 00:00 (29/197): p11-kit-0.24.1-2.el9.x86_64.rpm 5.1 MB/s | 387 kB 00:00 (30/197): popt-1.18-8.el9.x86_64.rpm 1.1 MB/s | 69 kB 00:00 (31/197): pcre-8.44-3.el9.3.x86_64.rpm 2.7 MB/s | 202 kB 00:00 (32/197): publicsuffix-list-dafsa-20210518-3.el 1.1 MB/s | 59 kB 00:00 (33/197): readline-8.1-4.el9.x86_64.rpm 3.6 MB/s | 215 kB 00:00 (34/197): sed-4.8-9.el9.x86_64.rpm 5.2 MB/s | 309 kB 00:00 (35/197): unzip-6.0-56.el9.x86_64.rpm 3.0 MB/s | 186 kB 00:00 (36/197): diffutils-3.7-12.el9.x86_64.rpm 6.1 MB/s | 402 kB 00:00 (37/197): cracklib-dicts-2.9.6-27.el9.x86_64.rp 44 MB/s | 3.6 MB 00:00 (38/197): gawk-5.1.0-6.el9.x86_64.rpm 14 MB/s | 1.0 MB 00:00 (39/197): p11-kit-trust-0.24.1-2.el9.x86_64.rpm 2.5 MB/s | 148 kB 00:00 (40/197): gdbm-libs-1.19-4.el9.x86_64.rpm 575 kB/s | 56 kB 00:00 (41/197): libcom_err-1.46.5-3.el9.x86_64.rpm 319 kB/s | 29 kB 00:00 (42/197): xz-libs-5.2.5-8.el9_0.x86_64.rpm 1.7 MB/s | 94 kB 00:00 (43/197): xz-5.2.5-8.el9_0.x86_64.rpm 3.8 MB/s | 230 kB 00:00 (44/197): pcre2-syntax-10.40-2.el9.noarch.rpm 1.5 MB/s | 147 kB 00:00 (45/197): zstd-1.5.1-2.el9.x86_64.rpm 7.5 MB/s | 552 kB 00:00 (46/197): bash-5.1.8-6.el9_1.x86_64.rpm 21 MB/s | 1.7 MB 00:00 (47/197): cyrus-sasl-lib-2.1.27-21.el9.x86_64.r 9.9 MB/s | 774 kB 00:00 (48/197): libselinux-3.5-1.el9.x86_64.rpm 1.3 MB/s | 88 kB 00:00 (49/197): gzip-1.12-1.el9.x86_64.rpm 2.0 MB/s | 167 kB 00:00 (50/197): libtasn1-4.16.0-8.el9_1.x86_64.rpm 1.1 MB/s | 77 kB 00:00 (51/197): pcre2-10.40-2.el9.x86_64.rpm 3.6 MB/s | 239 kB 00:00 (52/197): tar-1.34-6.el9_1.x86_64.rpm 11 MB/s | 889 kB 00:00 (53/197): ca-certificates-2023.2.60_v7.0.306-90 13 MB/s | 846 kB 00:00 (54/197): coreutils-8.32-34.el9.x86_64.rpm 14 MB/s | 1.2 MB 00:00 (55/197): keyutils-libs-1.6.3-1.el9.x86_64.rpm 567 kB/s | 34 kB 00:00 (56/197): coreutils-common-8.32-34.el9.x86_64.r 28 MB/s | 2.0 MB 00:00 (57/197): libarchive-3.5.3-4.el9.x86_64.rpm 6.5 MB/s | 392 kB 00:00 (58/197): libcap-2.48-9.el9_2.x86_64.rpm 1.2 MB/s | 74 kB 00:00 (59/197): libeconf-0.4.1-3.el9_2.x86_64.rpm 519 kB/s | 30 kB 00:00 (60/197): libpkgconf-1.7.3-10.el9.x86_64.rpm 625 kB/s | 37 kB 00:00 (61/197): libgcrypt-1.10.0-10.el9_2.x86_64.rpm 8.0 MB/s | 508 kB 00:00 (62/197): libsepol-3.5-1.el9.x86_64.rpm 5.1 MB/s | 317 kB 00:00 (63/197): pkgconf-pkg-config-1.7.3-10.el9.x86_6 210 kB/s | 12 kB 00:00 (64/197): pkgconf-m4-1.7.3-10.el9.noarch.rpm 247 kB/s | 16 kB 00:00 (65/197): pkgconf-1.7.3-10.el9.x86_64.rpm 581 kB/s | 45 kB 00:00 (66/197): setup-2.13.7-9.el9.noarch.rpm 2.2 MB/s | 150 kB 00:00 (67/197): zip-3.0-35.el9.x86_64.rpm 4.0 MB/s | 270 kB 00:00 (68/197): alternatives-1.24-1.el9.x86_64.rpm 686 kB/s | 42 kB 00:00 (69/197): crypto-policies-20230731-1.git94f0e2c 1.4 MB/s | 87 kB 00:00 (70/197): audit-libs-3.0.7-104.el9.x86_64.rpm 1.8 MB/s | 120 kB 00:00 (71/197): elfutils-0.189-3.el9.x86_64.rpm 8.3 MB/s | 540 kB 00:00 (72/197): elfutils-debuginfod-client-0.189-3.el 618 kB/s | 39 kB 00:00 (73/197): elfutils-default-yama-scope-0.189-3.e 210 kB/s | 13 kB 00:00 (74/197): elfutils-libelf-0.189-3.el9.x86_64.rp 3.4 MB/s | 196 kB 00:00 (75/197): elfutils-libs-0.189-3.el9.x86_64.rpm 4.2 MB/s | 258 kB 00:00 (76/197): file-libs-5.39-14.el9.x86_64.rpm 9.7 MB/s | 593 kB 00:00 (77/197): file-5.39-14.el9.x86_64.rpm 712 kB/s | 52 kB 00:00 (78/197): findutils-4.8.0-6.el9.x86_64.rpm 9.2 MB/s | 550 kB 00:00 (79/197): gmp-6.2.0-13.el9.x86_64.rpm 4.9 MB/s | 319 kB 00:00 (80/197): krb5-libs-1.21.1-1.el9.x86_64.rpm 12 MB/s | 770 kB 00:00 (81/197): libfdisk-2.37.4-15.el9.x86_64.rpm 2.6 MB/s | 157 kB 00:00 (82/197): libblkid-2.37.4-15.el9.x86_64.rpm 1.3 MB/s | 110 kB 00:00 (83/197): libffi-3.4.2-8.el9.x86_64.rpm 571 kB/s | 40 kB 00:00 (84/197): libgcc-11.4.1-2.1.el9.x86_64.rpm 1.6 MB/s | 98 kB 00:00 (85/197): libmount-2.37.4-15.el9.x86_64.rpm 2.3 MB/s | 136 kB 00:00 (86/197): libgomp-11.4.1-2.1.el9.x86_64.rpm 3.8 MB/s | 273 kB 00:00 (87/197): libnghttp2-1.43.0-5.el9_3.1.x86_64.rp 1.3 MB/s | 75 kB 00:00 (88/197): libsmartcols-2.37.4-15.el9.x86_64.rpm 982 kB/s | 66 kB 00:00 (89/197): libsemanage-3.5-2.el9.x86_64.rpm 1.4 MB/s | 121 kB 00:00 (90/197): libstdc++-11.4.1-2.1.el9.x86_64.rpm 12 MB/s | 744 kB 00:00 (91/197): libuuid-2.37.4-15.el9.x86_64.rpm 562 kB/s | 31 kB 00:00 (92/197): lua-libs-5.4.4-4.el9.x86_64.rpm 2.0 MB/s | 132 kB 00:00 (93/197): ncurses-6.2-10.20210508.el9.x86_64.rp 5.3 MB/s | 410 kB 00:00 (94/197): ncurses-base-6.2-10.20210508.el9.noar 1.7 MB/s | 99 kB 00:00 (95/197): ncurses-libs-6.2-10.20210508.el9.x86_ 5.4 MB/s | 332 kB 00:00 (96/197): openldap-2.6.3-1.el9.x86_64.rpm 4.0 MB/s | 287 kB 00:00 (97/197): pam-1.5.1-15.el9.x86_64.rpm 9.4 MB/s | 623 kB 00:00 (98/197): shadow-utils-4.9-8.el9.x86_64.rpm 18 MB/s | 1.2 MB 00:00 (99/197): systemd-libs-252-18.el9.x86_64.rpm 11 MB/s | 659 kB 00:00 (100/197): util-linux-2.37.4-15.el9.x86_64.rpm 34 MB/s | 2.3 MB 00:00 (101/197): util-linux-core-2.37.4-15.el9.x86_64 6.5 MB/s | 466 kB 00:00 (102/197): which-2.21-29.el9.x86_64.rpm 771 kB/s | 45 kB 00:00 (103/197): zlib-1.2.11-40.el9.x86_64.rpm 1.6 MB/s | 93 kB 00:00 (104/197): libxml2-2.9.13-5.el9_3.x86_64.rpm 12 MB/s | 751 kB 00:00 (105/197): openssl-3.0.7-25.el9_3.x86_64.rpm 18 MB/s | 1.2 MB 00:00 (106/197): openssl-libs-3.0.7-25.el9_3.x86_64.r 32 MB/s | 2.2 MB 00:00 (107/197): rpm-4.16.1.3-27.el9_3.x86_64.rpm 8.7 MB/s | 541 kB 00:00 (108/197): rpm-build-libs-4.16.1.3-27.el9_3.x86 1.3 MB/s | 90 kB 00:00 (109/197): rpm-libs-4.16.1.3-27.el9_3.x86_64.rp 4.2 MB/s | 310 kB 00:00 (110/197): sqlite-libs-3.34.1-7.el9_3.x86_64.rp 9.9 MB/s | 622 kB 00:00 (111/197): tzdata-2024a-1.el9.noarch.rpm 9.4 MB/s | 842 kB 00:00 (112/197): binutils-gold-2.35.2-42.el9_3.1.x86_ 12 MB/s | 734 kB 00:00 (113/197): binutils-2.35.2-42.el9_3.1.x86_64.rp 51 MB/s | 4.6 MB 00:00 (114/197): curl-7.76.1-26.el9_3.3.x86_64.rpm 5.0 MB/s | 298 kB 00:00 (115/197): glibc-2.34-83.el9_3.12.x86_64.rpm 30 MB/s | 1.9 MB 00:00 (116/197): glibc-common-2.34-83.el9_3.12.x86_64 5.2 MB/s | 315 kB 00:00 (117/197): glibc-gconv-extra-2.34-83.el9_3.12.x 26 MB/s | 1.7 MB 00:00 (118/197): glibc-minimal-langpack-2.34-83.el9_3 541 kB/s | 31 kB 00:00 (119/197): libcurl-7.76.1-26.el9_3.3.x86_64.rpm 4.5 MB/s | 286 kB 00:00 (120/197): libssh-0.10.4-12.el9_3.x86_64.rpm 3.3 MB/s | 218 kB 00:00 (121/197): libssh-config-0.10.4-12.el9_3.noarch 192 kB/s | 11 kB 00:00 (122/197): redhat-release-9.3-0.7.el9.x86_64.rp 628 kB/s | 45 kB 00:00 (123/197): lua-srpm-macros-1-6.el9.noarch.rpm 175 kB/s | 10 kB 00:00 (124/197): ghc-srpm-macros-1.5.0-6.el9.noarch.r 148 kB/s | 9.0 kB 00:00 (125/197): perl-Data-Dumper-2.174-462.el9.x86_6 1.0 MB/s | 59 kB 00:00 (126/197): perl-Digest-MD5-2.58-4.el9.x86_64.rp 654 kB/s | 39 kB 00:00 (127/197): perl-Encode-3.08-462.el9.x86_64.rpm 26 MB/s | 1.7 MB 00:00 (128/197): perl-IO-Socket-SSL-2.073-1.el9.noarc 3.3 MB/s | 223 kB 00:00 (129/197): perl-Mozilla-CA-20200520-6.el9.noarc 236 kB/s | 14 kB 00:00 (130/197): perl-Pod-Simple-3.42-4.el9.noarch.rp 3.8 MB/s | 229 kB 00:00 (131/197): perl-Term-Cap-1.17-460.el9.noarch.rp 450 kB/s | 24 kB 00:00 (132/197): perl-Time-Local-1.300-7.el9.noarch.r 671 kB/s | 37 kB 00:00 (133/197): rust-srpm-macros-17-4.el9.noarch.rpm 190 kB/s | 11 kB 00:00 (134/197): perl-Pod-Escapes-1.07-460.el9.noarch 327 kB/s | 22 kB 00:00 (135/197): perl-Storable-3.21-460.el9.x86_64.rp 1.7 MB/s | 98 kB 00:00 (136/197): perl-podlators-4.14-460.el9.noarch.r 1.3 MB/s | 118 kB 00:00 (137/197): python-srpm-macros-3.9-52.el9.noarch 390 kB/s | 24 kB 00:00 (138/197): dwz-0.14-3.el9.x86_64.rpm 2.2 MB/s | 130 kB 00:00 (139/197): perl-Exporter-5.74-461.el9.noarch.rp 545 kB/s | 34 kB 00:00 (140/197): perl-File-Temp-0.231.100-4.el9.noarc 880 kB/s | 63 kB 00:00 (141/197): perl-Getopt-Long-2.52-4.el9.noarch.r 1.1 MB/s | 64 kB 00:00 (142/197): perl-MIME-Base64-3.16-4.el9.x86_64.r 596 kB/s | 34 kB 00:00 (143/197): perl-parent-0.238-460.el9.noarch.rpm 291 kB/s | 16 kB 00:00 (144/197): perl-Text-Tabs+Wrap-2013.0523-460.el 437 kB/s | 25 kB 00:00 (145/197): perl-srpm-macros-1-41.el9.noarch.rpm 150 kB/s | 9.4 kB 00:00 (146/197): openblas-srpm-macros-2-11.el9.noarch 163 kB/s | 8.6 kB 00:00 (147/197): efi-srpm-macros-6-2.el9_0.noarch.rpm 422 kB/s | 24 kB 00:00 (148/197): perl-IO-Socket-IP-0.41-5.el9.noarch. 774 kB/s | 45 kB 00:00 (149/197): perl-Digest-1.19-4.el9.noarch.rpm 411 kB/s | 29 kB 00:00 (150/197): perl-File-Path-2.18-4.el9.noarch.rpm 537 kB/s | 38 kB 00:00 (151/197): perl-Pod-Usage-2.01-4.el9.noarch.rpm 725 kB/s | 43 kB 00:00 (152/197): perl-Socket-2.031-4.el9.x86_64.rpm 1.0 MB/s | 58 kB 00:00 (153/197): perl-Scalar-List-Utils-1.56-461.el9. 1.3 MB/s | 77 kB 00:00 (154/197): perl-Term-ANSIColor-5.01-461.el9.noa 841 kB/s | 51 kB 00:00 (155/197): perl-URI-5.09-3.el9.noarch.rpm 2.1 MB/s | 125 kB 00:00 (156/197): perl-Text-ParseWords-3.30-460.el9.no 294 kB/s | 18 kB 00:00 (157/197): ocaml-srpm-macros-6-6.el9.noarch.rpm 165 kB/s | 9.1 kB 00:00 (158/197): perl-constant-1.33-461.el9.noarch.rp 424 kB/s | 25 kB 00:00 (159/197): fonts-srpm-macros-2.0.5-7.el9.1.noar 385 kB/s | 29 kB 00:00 (160/197): perl-Pod-Perldoc-3.28.01-461.el9.noa 1.7 MB/s | 92 kB 00:00 (161/197): patch-2.7.6-16.el9.x86_64.rpm 2.2 MB/s | 130 kB 00:00 (162/197): perl-libnet-3.13-4.el9.noarch.rpm 2.1 MB/s | 134 kB 00:00 (163/197): perl-Carp-1.50-460.el9.noarch.rpm 572 kB/s | 31 kB 00:00 (164/197): perl-PathTools-3.78-461.el9.x86_64.r 1.4 MB/s | 92 kB 00:00 (165/197): perl-Net-SSLeay-1.92-2.el9.x86_64.rp 6.5 MB/s | 392 kB 00:00 (166/197): perl-AutoLoader-5.74-480.el9.noarch. 380 kB/s | 23 kB 00:00 (167/197): perl-B-1.80-480.el9.x86_64.rpm 2.7 MB/s | 185 kB 00:00 (168/197): perl-Class-Struct-0.66-480.el9.noarc 358 kB/s | 24 kB 00:00 (169/197): perl-Errno-1.30-480.el9.x86_64.rpm 287 kB/s | 17 kB 00:00 (170/197): perl-Fcntl-1.13-480.el9.x86_64.rpm 409 kB/s | 23 kB 00:00 (171/197): perl-File-Basename-2.85-480.el9.noar 286 kB/s | 19 kB 00:00 (172/197): perl-File-stat-1.09-480.el9.noarch.r 286 kB/s | 19 kB 00:00 (173/197): perl-FileHandle-2.03-480.el9.noarch. 316 kB/s | 17 kB 00:00 (174/197): perl-IPC-Open3-1.21-480.el9.noarch.r 346 kB/s | 25 kB 00:00 (175/197): perl-Symbol-1.08-480.el9.noarch.rpm 273 kB/s | 16 kB 00:00 (176/197): perl-POSIX-1.94-480.el9.x86_64.rpm 1.3 MB/s | 99 kB 00:00 (177/197): perl-interpreter-5.32.1-480.el9.x86_ 988 kB/s | 75 kB 00:00 (178/197): perl-libs-5.32.1-480.el9.x86_64.rpm 34 MB/s | 2.2 MB 00:00 (179/197): perl-mro-1.23-480.el9.x86_64.rpm 471 kB/s | 31 kB 00:00 (180/197): perl-overloading-0.02-480.el9.noarch 271 kB/s | 15 kB 00:00 (181/197): perl-overload-1.31-480.el9.noarch.rp 740 kB/s | 47 kB 00:00 (182/197): perl-Getopt-Std-1.12-480.el9.noarch. 328 kB/s | 17 kB 00:00 (183/197): perl-base-2.27-480.el9.noarch.rpm 320 kB/s | 18 kB 00:00 (184/197): perl-IO-1.43-480.el9.x86_64.rpm 1.4 MB/s | 94 kB 00:00 (185/197): perl-SelectSaver-1.02-480.el9.noarch 211 kB/s | 13 kB 00:00 (186/197): perl-if-0.60.800-480.el9.noarch.rpm 277 kB/s | 16 kB 00:00 (187/197): perl-vars-1.05-480.el9.noarch.rpm 258 kB/s | 15 kB 00:00 (188/197): perl-subs-1.03-480.el9.noarch.rpm 218 kB/s | 13 kB 00:00 (189/197): debugedit-5.0-4.el9.x86_64.rpm 1.4 MB/s | 81 kB 00:00 (190/197): pyproject-srpm-macros-1.9.0-1.el9.no 275 kB/s | 16 kB 00:00 (191/197): redhat-rpm-config-201-1.el9.noarch.r 1.3 MB/s | 76 kB 00:00 (192/197): go-srpm-macros-3.2.0-2.el9.noarch.rp 452 kB/s | 28 kB 00:00 (193/197): perl-HTTP-Tiny-0.076-461.el9.noarch. 1.0 MB/s | 57 kB 00:00 (194/197): kernel-srpm-macros-1.0-13.el9.noarch 292 kB/s | 17 kB 00:00 (195/197): qt5-srpm-macros-5.15.9-1.el9.noarch. 168 kB/s | 9.1 kB 00:00 (196/197): rpm-build-4.16.1.3-27.el9_3.x86_64.r 1.2 MB/s | 70 kB 00:00 (197/197): gdb-minimal-10.2-11.1.el9_3.x86_64.r 48 MB/s | 3.5 MB 00:00 -------------------------------------------------------------------------------- Total 15 MB/s | 67 MB 00:04 Red Hat Enterprise Linux - BaseOS 3.5 MB/s | 3.6 kB 00:00 Importing GPG key 0xFD431D51: Userid : "Red Hat, Inc. (release key 2) " Fingerprint: 567E 347A D004 4ADE 55BA 8A5F 199E 2F91 FD43 1D51 From : /usr/share/distribution-gpg-keys/redhat/RPM-GPG-KEY-redhat9-release Key imported successfully Importing GPG key 0x5A6340B3: Userid : "Red Hat, Inc. (auxiliary key 3) " Fingerprint: 7E46 2425 8C40 6535 D56D 6F13 5054 E4A4 5A63 40B3 From : /usr/share/distribution-gpg-keys/redhat/RPM-GPG-KEY-redhat9-release Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.16-2.el9.x86_64 1/1 Preparing : 1/1 Installing : libgcc-11.4.1-2.1.el9.x86_64 1/197 Running scriptlet: libgcc-11.4.1-2.1.el9.x86_64 1/197 Installing : crypto-policies-20230731-1.git94f0e2c.el9_3.1.no 2/197 Running scriptlet: crypto-policies-20230731-1.git94f0e2c.el9_3.1.no 2/197 Installing : qt5-srpm-macros-5.15.9-1.el9.noarch 3/197 Installing : pyproject-srpm-macros-1.9.0-1.el9.noarch 4/197 Installing : ocaml-srpm-macros-6-6.el9.noarch 5/197 Installing : openblas-srpm-macros-2-11.el9.noarch 6/197 Installing : perl-srpm-macros-1-41.el9.noarch 7/197 Installing : rust-srpm-macros-17-4.el9.noarch 8/197 Installing : ghc-srpm-macros-1.5.0-6.el9.noarch 9/197 Installing : redhat-release-9.3-0.7.el9.x86_64 10/197 Installing : setup-2.13.7-9.el9.noarch 11/197 warning: /etc/hosts created as /etc/hosts.rpmnew Running scriptlet: setup-2.13.7-9.el9.noarch 11/197 Installing : filesystem-3.16-2.el9.x86_64 12/197 Installing : basesystem-11-13.el9.noarch 13/197 Installing : libssh-config-0.10.4-12.el9_3.noarch 14/197 Installing : tzdata-2024a-1.el9.noarch 15/197 Installing : ncurses-base-6.2-10.20210508.el9.noarch 16/197 Installing : bash-5.1.8-6.el9_1.x86_64 17/197 Running scriptlet: bash-5.1.8-6.el9_1.x86_64 17/197 Installing : ncurses-libs-6.2-10.20210508.el9.x86_64 18/197 Installing : glibc-common-2.34-83.el9_3.12.x86_64 19/197 Installing : glibc-gconv-extra-2.34-83.el9_3.12.x86_64 20/197 Running scriptlet: glibc-gconv-extra-2.34-83.el9_3.12.x86_64 20/197 Installing : glibc-minimal-langpack-2.34-83.el9_3.12.x86_64 21/197 Running scriptlet: glibc-2.34-83.el9_3.12.x86_64 22/197 Installing : glibc-2.34-83.el9_3.12.x86_64 22/197 Running scriptlet: glibc-2.34-83.el9_3.12.x86_64 22/197 Installing : zlib-1.2.11-40.el9.x86_64 23/197 Installing : xz-libs-5.2.5-8.el9_0.x86_64 24/197 Installing : bzip2-libs-1.0.8-8.el9.x86_64 25/197 Installing : libxcrypt-4.4.18-3.el9.x86_64 26/197 Installing : libzstd-1.5.1-2.el9.x86_64 27/197 Installing : elfutils-libelf-0.189-3.el9.x86_64 28/197 Installing : libstdc++-11.4.1-2.1.el9.x86_64 29/197 Installing : libuuid-2.37.4-15.el9.x86_64 30/197 Installing : libattr-2.5.1-3.el9.x86_64 31/197 Installing : libacl-2.3.1-3.el9.x86_64 32/197 Installing : popt-1.18-8.el9.x86_64 33/197 Installing : libcap-2.48-9.el9_2.x86_64 34/197 Installing : lz4-libs-1.9.3-5.el9.x86_64 35/197 Installing : readline-8.1-4.el9.x86_64 36/197 Installing : libcom_err-1.46.5-3.el9.x86_64 37/197 Installing : gmp-1:6.2.0-13.el9.x86_64 38/197 Installing : mpfr-4.1.0-7.el9.x86_64 39/197 Installing : dwz-0.14-3.el9.x86_64 40/197 Installing : unzip-6.0-56.el9.x86_64 41/197 Installing : file-libs-5.39-14.el9.x86_64 42/197 Installing : file-5.39-14.el9.x86_64 43/197 Installing : sqlite-libs-3.34.1-7.el9_3.x86_64 44/197 Installing : libcap-ng-0.8.2-7.el9.x86_64 45/197 Installing : audit-libs-3.0.7-104.el9.x86_64 46/197 Installing : libsigsegv-2.13-4.el9.x86_64 47/197 Installing : gawk-5.1.0-6.el9.x86_64 48/197 Installing : libunistring-0.9.10-15.el9.x86_64 49/197 Installing : libidn2-2.3.0-7.el9.x86_64 50/197 Installing : libsepol-3.5-1.el9.x86_64 51/197 Installing : alternatives-1.24-1.el9.x86_64 52/197 Installing : libsmartcols-2.37.4-15.el9.x86_64 53/197 Installing : lua-libs-5.4.4-4.el9.x86_64 54/197 Installing : zip-3.0-35.el9.x86_64 55/197 Installing : zstd-1.5.1-2.el9.x86_64 56/197 Running scriptlet: groff-base-1.22.4-10.el9.x86_64 57/197 Installing : groff-base-1.22.4-10.el9.x86_64 57/197 Running scriptlet: groff-base-1.22.4-10.el9.x86_64 57/197 Installing : bzip2-1.0.8-8.el9.x86_64 58/197 Installing : libxml2-2.9.13-5.el9_3.x86_64 59/197 Installing : info-6.7-15.el9.x86_64 60/197 Installing : ed-1.14.2-12.el9.x86_64 61/197 Installing : cpio-2.13-16.el9.x86_64 62/197 Installing : libbrotli-1.0.9-6.el9.x86_64 63/197 Installing : libdb-5.3.28-53.el9.x86_64 64/197 Installing : libgpg-error-1.42-5.el9.x86_64 65/197 Installing : libgcrypt-1.10.0-10.el9_2.x86_64 66/197 Installing : libverto-0.3.2-3.el9.x86_64 67/197 Installing : pcre-8.44-3.el9.3.x86_64 68/197 Installing : grep-3.6-5.el9.x86_64 69/197 Installing : xz-5.2.5-8.el9_0.x86_64 70/197 Installing : diffutils-3.7-12.el9.x86_64 71/197 Installing : gdbm-libs-1:1.19-4.el9.x86_64 72/197 Installing : libtasn1-4.16.0-8.el9_1.x86_64 73/197 Installing : keyutils-libs-1.6.3-1.el9.x86_64 74/197 Installing : libeconf-0.4.1-3.el9_2.x86_64 75/197 Installing : libpkgconf-1.7.3-10.el9.x86_64 76/197 Installing : pkgconf-1.7.3-10.el9.x86_64 77/197 Installing : libffi-3.4.2-8.el9.x86_64 78/197 Installing : p11-kit-0.24.1-2.el9.x86_64 79/197 Installing : p11-kit-trust-0.24.1-2.el9.x86_64 80/197 Running scriptlet: p11-kit-trust-0.24.1-2.el9.x86_64 80/197 Installing : libgomp-11.4.1-2.1.el9.x86_64 81/197 Installing : libnghttp2-1.43.0-5.el9_3.1.x86_64 82/197 Installing : ncurses-6.2-10.20210508.el9.x86_64 83/197 Installing : elfutils-default-yama-scope-0.189-3.el9.noarch 84/197 Running scriptlet: elfutils-default-yama-scope-0.189-3.el9.noarch 84/197 Installing : elfutils-libs-0.189-3.el9.x86_64 85/197 Installing : pkgconf-m4-1.7.3-10.el9.noarch 86/197 Installing : pkgconf-pkg-config-1.7.3-10.el9.x86_64 87/197 Installing : coreutils-common-8.32-34.el9.x86_64 88/197 Installing : pcre2-syntax-10.40-2.el9.noarch 89/197 Installing : pcre2-10.40-2.el9.x86_64 90/197 Installing : libselinux-3.5-1.el9.x86_64 91/197 Installing : sed-4.8-9.el9.x86_64 92/197 Installing : findutils-1:4.8.0-6.el9.x86_64 93/197 Installing : openssl-libs-1:3.0.7-25.el9_3.x86_64 94/197 Installing : coreutils-8.32-34.el9.x86_64 95/197 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-90.1.el9_2.no 96/197 Installing : ca-certificates-2023.2.60_v7.0.306-90.1.el9_2.no 96/197 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-90.1.el9_2.no 96/197 Installing : libblkid-2.37.4-15.el9.x86_64 97/197 Running scriptlet: libblkid-2.37.4-15.el9.x86_64 97/197 Installing : krb5-libs-1.21.1-1.el9.x86_64 98/197 Installing : libmount-2.37.4-15.el9.x86_64 99/197 Installing : gzip-1.12-1.el9.x86_64 100/197 Installing : cracklib-2.9.6-27.el9.x86_64 101/197 Installing : systemd-libs-252-18.el9.x86_64 102/197 Running scriptlet: systemd-libs-252-18.el9.x86_64 102/197 Installing : util-linux-core-2.37.4-15.el9.x86_64 103/197 Running scriptlet: util-linux-core-2.37.4-15.el9.x86_64 103/197 Installing : cracklib-dicts-2.9.6-27.el9.x86_64 104/197 Installing : cyrus-sasl-lib-2.1.27-21.el9.x86_64 105/197 Installing : libssh-0.10.4-12.el9_3.x86_64 106/197 Installing : libfdisk-2.37.4-15.el9.x86_64 107/197 Installing : perl-Digest-1.19-4.el9.noarch 108/197 Installing : perl-Digest-MD5-2.58-4.el9.x86_64 109/197 Installing : perl-FileHandle-2.03-480.el9.noarch 110/197 Installing : perl-B-1.80-480.el9.x86_64 111/197 Installing : perl-Data-Dumper-2.174-462.el9.x86_64 112/197 Installing : perl-libnet-3.13-4.el9.noarch 113/197 Installing : perl-AutoLoader-5.74-480.el9.noarch 114/197 Installing : perl-base-2.27-480.el9.noarch 115/197 Installing : perl-URI-5.09-3.el9.noarch 116/197 Installing : perl-Time-Local-2:1.300-7.el9.noarch 117/197 Installing : perl-Mozilla-CA-20200520-6.el9.noarch 118/197 Installing : perl-IO-Socket-IP-0.41-5.el9.noarch 119/197 Installing : perl-if-0.60.800-480.el9.noarch 120/197 Installing : perl-Pod-Escapes-1:1.07-460.el9.noarch 121/197 Installing : perl-Text-Tabs+Wrap-2013.0523-460.el9.noarch 122/197 Installing : perl-File-Path-2.18-4.el9.noarch 123/197 Installing : perl-IO-Socket-SSL-2.073-1.el9.noarch 124/197 Installing : perl-Net-SSLeay-1.92-2.el9.x86_64 125/197 Installing : perl-Term-ANSIColor-5.01-461.el9.noarch 126/197 Installing : perl-Class-Struct-0.66-480.el9.noarch 127/197 Installing : perl-POSIX-1.94-480.el9.x86_64 128/197 Installing : perl-IPC-Open3-1.21-480.el9.noarch 129/197 Installing : perl-subs-1.03-480.el9.noarch 130/197 Installing : perl-Term-Cap-1.17-460.el9.noarch 131/197 Installing : perl-File-Temp-1:0.231.100-4.el9.noarch 132/197 Installing : perl-Pod-Simple-1:3.42-4.el9.noarch 133/197 Installing : perl-HTTP-Tiny-0.076-461.el9.noarch 134/197 Installing : perl-Socket-4:2.031-4.el9.x86_64 135/197 Installing : perl-Symbol-1.08-480.el9.noarch 136/197 Installing : perl-File-stat-1.09-480.el9.noarch 137/197 Installing : perl-SelectSaver-1.02-480.el9.noarch 138/197 Installing : perl-podlators-1:4.14-460.el9.noarch 139/197 Installing : perl-Pod-Perldoc-3.28.01-461.el9.noarch 140/197 Installing : perl-Text-ParseWords-3.30-460.el9.noarch 141/197 Installing : perl-Fcntl-1.13-480.el9.x86_64 142/197 Installing : perl-mro-1.23-480.el9.x86_64 143/197 Installing : perl-overloading-0.02-480.el9.noarch 144/197 Installing : perl-Pod-Usage-4:2.01-4.el9.noarch 145/197 Installing : perl-IO-1.43-480.el9.x86_64 146/197 Installing : perl-parent-1:0.238-460.el9.noarch 147/197 Installing : perl-MIME-Base64-3.16-4.el9.x86_64 148/197 Installing : perl-Scalar-List-Utils-4:1.56-461.el9.x86_64 149/197 Installing : perl-constant-1.33-461.el9.noarch 150/197 Installing : perl-Errno-1.30-480.el9.x86_64 151/197 Installing : perl-Storable-1:3.21-460.el9.x86_64 152/197 Installing : perl-File-Basename-2.85-480.el9.noarch 153/197 Installing : perl-overload-1.31-480.el9.noarch 154/197 Installing : perl-Getopt-Std-1.12-480.el9.noarch 155/197 Installing : perl-vars-1.05-480.el9.noarch 156/197 Installing : perl-Getopt-Long-1:2.52-4.el9.noarch 157/197 Installing : perl-Exporter-5.74-461.el9.noarch 158/197 Installing : perl-Carp-1.50-460.el9.noarch 159/197 Installing : perl-PathTools-3.78-461.el9.x86_64 160/197 Installing : perl-Encode-4:3.08-462.el9.x86_64 161/197 Installing : perl-libs-4:5.32.1-480.el9.x86_64 162/197 Installing : perl-interpreter-4:5.32.1-480.el9.x86_64 163/197 Installing : kernel-srpm-macros-1.0-13.el9.noarch 164/197 Installing : openssl-1:3.0.7-25.el9_3.x86_64 165/197 Installing : libpwquality-1.4.4-8.el9.x86_64 166/197 Installing : pam-1.5.1-15.el9.x86_64 167/197 Installing : libevent-2.1.12-6.el9.x86_64 168/197 Installing : openldap-2.6.3-1.el9.x86_64 169/197 Installing : libarchive-3.5.3-4.el9.x86_64 170/197 Installing : tar-2:1.34-6.el9_1.x86_64 171/197 Installing : libsemanage-3.5-2.el9.x86_64 172/197 Installing : shadow-utils-2:4.9-8.el9.x86_64 173/197 Running scriptlet: libutempter-1.2.1-6.el9.x86_64 174/197 Installing : libutempter-1.2.1-6.el9.x86_64 174/197 Installing : patch-2.7.6-16.el9.x86_64 175/197 Installing : publicsuffix-list-dafsa-20210518-3.el9.noarch 176/197 Installing : libpsl-0.21.1-5.el9.x86_64 177/197 Installing : libcurl-7.76.1-26.el9_3.3.x86_64 178/197 Installing : elfutils-debuginfod-client-0.189-3.el9.x86_64 179/197 Installing : binutils-gold-2.35.2-42.el9_3.1.x86_64 180/197 Installing : binutils-2.35.2-42.el9_3.1.x86_64 181/197 Running scriptlet: binutils-2.35.2-42.el9_3.1.x86_64 181/197 Installing : elfutils-0.189-3.el9.x86_64 182/197 Installing : gdb-minimal-10.2-11.1.el9_3.x86_64 183/197 Installing : debugedit-5.0-4.el9.x86_64 184/197 Installing : curl-7.76.1-26.el9_3.3.x86_64 185/197 Installing : rpm-libs-4.16.1.3-27.el9_3.x86_64 186/197 Installing : rpm-4.16.1.3-27.el9_3.x86_64 187/197 Installing : lua-srpm-macros-1-6.el9.noarch 188/197 Installing : efi-srpm-macros-6-2.el9_0.noarch 189/197 Installing : python-srpm-macros-3.9-52.el9.noarch 190/197 Installing : fonts-srpm-macros-1:2.0.5-7.el9.1.noarch 191/197 Installing : go-srpm-macros-3.2.0-2.el9.noarch 192/197 Installing : redhat-rpm-config-201-1.el9.noarch 193/197 Installing : rpm-build-libs-4.16.1.3-27.el9_3.x86_64 194/197 Installing : rpm-build-4.16.1.3-27.el9_3.x86_64 195/197 Installing : util-linux-2.37.4-15.el9.x86_64 196/197 Installing : which-2.21-29.el9.x86_64 197/197 Running scriptlet: filesystem-3.16-2.el9.x86_64 197/197 Running scriptlet: ca-certificates-2023.2.60_v7.0.306-90.1.el9_2.no 197/197 Running scriptlet: rpm-4.16.1.3-27.el9_3.x86_64 197/197 Running scriptlet: which-2.21-29.el9.x86_64 197/197 Verifying : basesystem-11-13.el9.noarch 1/197 Verifying : bzip2-1.0.8-8.el9.x86_64 2/197 Verifying : bzip2-libs-1.0.8-8.el9.x86_64 3/197 Verifying : cpio-2.13-16.el9.x86_64 4/197 Verifying : cracklib-2.9.6-27.el9.x86_64 5/197 Verifying : ed-1.14.2-12.el9.x86_64 6/197 Verifying : filesystem-3.16-2.el9.x86_64 7/197 Verifying : grep-3.6-5.el9.x86_64 8/197 Verifying : groff-base-1.22.4-10.el9.x86_64 9/197 Verifying : info-6.7-15.el9.x86_64 10/197 Verifying : libacl-2.3.1-3.el9.x86_64 11/197 Verifying : libattr-2.5.1-3.el9.x86_64 12/197 Verifying : libbrotli-1.0.9-6.el9.x86_64 13/197 Verifying : libcap-ng-0.8.2-7.el9.x86_64 14/197 Verifying : libdb-5.3.28-53.el9.x86_64 15/197 Verifying : libevent-2.1.12-6.el9.x86_64 16/197 Verifying : libgpg-error-1.42-5.el9.x86_64 17/197 Verifying : libidn2-2.3.0-7.el9.x86_64 18/197 Verifying : libpsl-0.21.1-5.el9.x86_64 19/197 Verifying : libpwquality-1.4.4-8.el9.x86_64 20/197 Verifying : libsigsegv-2.13-4.el9.x86_64 21/197 Verifying : libunistring-0.9.10-15.el9.x86_64 22/197 Verifying : libutempter-1.2.1-6.el9.x86_64 23/197 Verifying : libverto-0.3.2-3.el9.x86_64 24/197 Verifying : libxcrypt-4.4.18-3.el9.x86_64 25/197 Verifying : libzstd-1.5.1-2.el9.x86_64 26/197 Verifying : lz4-libs-1.9.3-5.el9.x86_64 27/197 Verifying : mpfr-4.1.0-7.el9.x86_64 28/197 Verifying : p11-kit-0.24.1-2.el9.x86_64 29/197 Verifying : pcre-8.44-3.el9.3.x86_64 30/197 Verifying : popt-1.18-8.el9.x86_64 31/197 Verifying : publicsuffix-list-dafsa-20210518-3.el9.noarch 32/197 Verifying : readline-8.1-4.el9.x86_64 33/197 Verifying : sed-4.8-9.el9.x86_64 34/197 Verifying : unzip-6.0-56.el9.x86_64 35/197 Verifying : cracklib-dicts-2.9.6-27.el9.x86_64 36/197 Verifying : diffutils-3.7-12.el9.x86_64 37/197 Verifying : gawk-5.1.0-6.el9.x86_64 38/197 Verifying : gdbm-libs-1:1.19-4.el9.x86_64 39/197 Verifying : libcom_err-1.46.5-3.el9.x86_64 40/197 Verifying : p11-kit-trust-0.24.1-2.el9.x86_64 41/197 Verifying : pcre2-syntax-10.40-2.el9.noarch 42/197 Verifying : xz-5.2.5-8.el9_0.x86_64 43/197 Verifying : xz-libs-5.2.5-8.el9_0.x86_64 44/197 Verifying : zstd-1.5.1-2.el9.x86_64 45/197 Verifying : bash-5.1.8-6.el9_1.x86_64 46/197 Verifying : cyrus-sasl-lib-2.1.27-21.el9.x86_64 47/197 Verifying : gzip-1.12-1.el9.x86_64 48/197 Verifying : libselinux-3.5-1.el9.x86_64 49/197 Verifying : libtasn1-4.16.0-8.el9_1.x86_64 50/197 Verifying : pcre2-10.40-2.el9.x86_64 51/197 Verifying : tar-2:1.34-6.el9_1.x86_64 52/197 Verifying : ca-certificates-2023.2.60_v7.0.306-90.1.el9_2.no 53/197 Verifying : coreutils-8.32-34.el9.x86_64 54/197 Verifying : coreutils-common-8.32-34.el9.x86_64 55/197 Verifying : keyutils-libs-1.6.3-1.el9.x86_64 56/197 Verifying : libarchive-3.5.3-4.el9.x86_64 57/197 Verifying : libcap-2.48-9.el9_2.x86_64 58/197 Verifying : libeconf-0.4.1-3.el9_2.x86_64 59/197 Verifying : libgcrypt-1.10.0-10.el9_2.x86_64 60/197 Verifying : libpkgconf-1.7.3-10.el9.x86_64 61/197 Verifying : libsepol-3.5-1.el9.x86_64 62/197 Verifying : pkgconf-1.7.3-10.el9.x86_64 63/197 Verifying : pkgconf-m4-1.7.3-10.el9.noarch 64/197 Verifying : pkgconf-pkg-config-1.7.3-10.el9.x86_64 65/197 Verifying : setup-2.13.7-9.el9.noarch 66/197 Verifying : zip-3.0-35.el9.x86_64 67/197 Verifying : alternatives-1.24-1.el9.x86_64 68/197 Verifying : audit-libs-3.0.7-104.el9.x86_64 69/197 Verifying : crypto-policies-20230731-1.git94f0e2c.el9_3.1.no 70/197 Verifying : elfutils-0.189-3.el9.x86_64 71/197 Verifying : elfutils-debuginfod-client-0.189-3.el9.x86_64 72/197 Verifying : elfutils-default-yama-scope-0.189-3.el9.noarch 73/197 Verifying : elfutils-libelf-0.189-3.el9.x86_64 74/197 Verifying : elfutils-libs-0.189-3.el9.x86_64 75/197 Verifying : file-5.39-14.el9.x86_64 76/197 Verifying : file-libs-5.39-14.el9.x86_64 77/197 Verifying : findutils-1:4.8.0-6.el9.x86_64 78/197 Verifying : gmp-1:6.2.0-13.el9.x86_64 79/197 Verifying : krb5-libs-1.21.1-1.el9.x86_64 80/197 Verifying : libblkid-2.37.4-15.el9.x86_64 81/197 Verifying : libfdisk-2.37.4-15.el9.x86_64 82/197 Verifying : libffi-3.4.2-8.el9.x86_64 83/197 Verifying : libgcc-11.4.1-2.1.el9.x86_64 84/197 Verifying : libgomp-11.4.1-2.1.el9.x86_64 85/197 Verifying : libmount-2.37.4-15.el9.x86_64 86/197 Verifying : libnghttp2-1.43.0-5.el9_3.1.x86_64 87/197 Verifying : libsemanage-3.5-2.el9.x86_64 88/197 Verifying : libsmartcols-2.37.4-15.el9.x86_64 89/197 Verifying : libstdc++-11.4.1-2.1.el9.x86_64 90/197 Verifying : libuuid-2.37.4-15.el9.x86_64 91/197 Verifying : lua-libs-5.4.4-4.el9.x86_64 92/197 Verifying : ncurses-6.2-10.20210508.el9.x86_64 93/197 Verifying : ncurses-base-6.2-10.20210508.el9.noarch 94/197 Verifying : ncurses-libs-6.2-10.20210508.el9.x86_64 95/197 Verifying : openldap-2.6.3-1.el9.x86_64 96/197 Verifying : pam-1.5.1-15.el9.x86_64 97/197 Verifying : shadow-utils-2:4.9-8.el9.x86_64 98/197 Verifying : systemd-libs-252-18.el9.x86_64 99/197 Verifying : util-linux-2.37.4-15.el9.x86_64 100/197 Verifying : util-linux-core-2.37.4-15.el9.x86_64 101/197 Verifying : which-2.21-29.el9.x86_64 102/197 Verifying : zlib-1.2.11-40.el9.x86_64 103/197 Verifying : libxml2-2.9.13-5.el9_3.x86_64 104/197 Verifying : openssl-1:3.0.7-25.el9_3.x86_64 105/197 Verifying : openssl-libs-1:3.0.7-25.el9_3.x86_64 106/197 Verifying : rpm-4.16.1.3-27.el9_3.x86_64 107/197 Verifying : rpm-build-libs-4.16.1.3-27.el9_3.x86_64 108/197 Verifying : rpm-libs-4.16.1.3-27.el9_3.x86_64 109/197 Verifying : sqlite-libs-3.34.1-7.el9_3.x86_64 110/197 Verifying : tzdata-2024a-1.el9.noarch 111/197 Verifying : binutils-2.35.2-42.el9_3.1.x86_64 112/197 Verifying : binutils-gold-2.35.2-42.el9_3.1.x86_64 113/197 Verifying : curl-7.76.1-26.el9_3.3.x86_64 114/197 Verifying : glibc-2.34-83.el9_3.12.x86_64 115/197 Verifying : glibc-common-2.34-83.el9_3.12.x86_64 116/197 Verifying : glibc-gconv-extra-2.34-83.el9_3.12.x86_64 117/197 Verifying : glibc-minimal-langpack-2.34-83.el9_3.12.x86_64 118/197 Verifying : libcurl-7.76.1-26.el9_3.3.x86_64 119/197 Verifying : libssh-0.10.4-12.el9_3.x86_64 120/197 Verifying : libssh-config-0.10.4-12.el9_3.noarch 121/197 Verifying : redhat-release-9.3-0.7.el9.x86_64 122/197 Verifying : ghc-srpm-macros-1.5.0-6.el9.noarch 123/197 Verifying : lua-srpm-macros-1-6.el9.noarch 124/197 Verifying : perl-Data-Dumper-2.174-462.el9.x86_64 125/197 Verifying : perl-Digest-MD5-2.58-4.el9.x86_64 126/197 Verifying : perl-Encode-4:3.08-462.el9.x86_64 127/197 Verifying : perl-IO-Socket-SSL-2.073-1.el9.noarch 128/197 Verifying : perl-Mozilla-CA-20200520-6.el9.noarch 129/197 Verifying : perl-Pod-Simple-1:3.42-4.el9.noarch 130/197 Verifying : perl-Term-Cap-1.17-460.el9.noarch 131/197 Verifying : perl-Time-Local-2:1.300-7.el9.noarch 132/197 Verifying : rust-srpm-macros-17-4.el9.noarch 133/197 Verifying : perl-Pod-Escapes-1:1.07-460.el9.noarch 134/197 Verifying : perl-Storable-1:3.21-460.el9.x86_64 135/197 Verifying : perl-podlators-1:4.14-460.el9.noarch 136/197 Verifying : python-srpm-macros-3.9-52.el9.noarch 137/197 Verifying : dwz-0.14-3.el9.x86_64 138/197 Verifying : perl-Exporter-5.74-461.el9.noarch 139/197 Verifying : perl-File-Temp-1:0.231.100-4.el9.noarch 140/197 Verifying : perl-Getopt-Long-1:2.52-4.el9.noarch 141/197 Verifying : perl-MIME-Base64-3.16-4.el9.x86_64 142/197 Verifying : perl-Text-Tabs+Wrap-2013.0523-460.el9.noarch 143/197 Verifying : perl-parent-1:0.238-460.el9.noarch 144/197 Verifying : perl-srpm-macros-1-41.el9.noarch 145/197 Verifying : efi-srpm-macros-6-2.el9_0.noarch 146/197 Verifying : openblas-srpm-macros-2-11.el9.noarch 147/197 Verifying : perl-Digest-1.19-4.el9.noarch 148/197 Verifying : perl-File-Path-2.18-4.el9.noarch 149/197 Verifying : perl-IO-Socket-IP-0.41-5.el9.noarch 150/197 Verifying : perl-Pod-Usage-4:2.01-4.el9.noarch 151/197 Verifying : perl-Scalar-List-Utils-4:1.56-461.el9.x86_64 152/197 Verifying : perl-Socket-4:2.031-4.el9.x86_64 153/197 Verifying : perl-Term-ANSIColor-5.01-461.el9.noarch 154/197 Verifying : perl-Text-ParseWords-3.30-460.el9.noarch 155/197 Verifying : perl-URI-5.09-3.el9.noarch 156/197 Verifying : perl-constant-1.33-461.el9.noarch 157/197 Verifying : fonts-srpm-macros-1:2.0.5-7.el9.1.noarch 158/197 Verifying : ocaml-srpm-macros-6-6.el9.noarch 159/197 Verifying : patch-2.7.6-16.el9.x86_64 160/197 Verifying : perl-Pod-Perldoc-3.28.01-461.el9.noarch 161/197 Verifying : perl-libnet-3.13-4.el9.noarch 162/197 Verifying : perl-Carp-1.50-460.el9.noarch 163/197 Verifying : perl-PathTools-3.78-461.el9.x86_64 164/197 Verifying : perl-Net-SSLeay-1.92-2.el9.x86_64 165/197 Verifying : perl-AutoLoader-5.74-480.el9.noarch 166/197 Verifying : perl-B-1.80-480.el9.x86_64 167/197 Verifying : perl-Class-Struct-0.66-480.el9.noarch 168/197 Verifying : perl-Errno-1.30-480.el9.x86_64 169/197 Verifying : perl-Fcntl-1.13-480.el9.x86_64 170/197 Verifying : perl-File-Basename-2.85-480.el9.noarch 171/197 Verifying : perl-File-stat-1.09-480.el9.noarch 172/197 Verifying : perl-FileHandle-2.03-480.el9.noarch 173/197 Verifying : perl-IPC-Open3-1.21-480.el9.noarch 174/197 Verifying : perl-POSIX-1.94-480.el9.x86_64 175/197 Verifying : perl-Symbol-1.08-480.el9.noarch 176/197 Verifying : perl-interpreter-4:5.32.1-480.el9.x86_64 177/197 Verifying : perl-libs-4:5.32.1-480.el9.x86_64 178/197 Verifying : perl-mro-1.23-480.el9.x86_64 179/197 Verifying : perl-overload-1.31-480.el9.noarch 180/197 Verifying : perl-overloading-0.02-480.el9.noarch 181/197 Verifying : perl-Getopt-Std-1.12-480.el9.noarch 182/197 Verifying : perl-IO-1.43-480.el9.x86_64 183/197 Verifying : perl-SelectSaver-1.02-480.el9.noarch 184/197 Verifying : perl-base-2.27-480.el9.noarch 185/197 Verifying : perl-if-0.60.800-480.el9.noarch 186/197 Verifying : perl-subs-1.03-480.el9.noarch 187/197 Verifying : perl-vars-1.05-480.el9.noarch 188/197 Verifying : debugedit-5.0-4.el9.x86_64 189/197 Verifying : pyproject-srpm-macros-1.9.0-1.el9.noarch 190/197 Verifying : redhat-rpm-config-201-1.el9.noarch 191/197 Verifying : go-srpm-macros-3.2.0-2.el9.noarch 192/197 Verifying : kernel-srpm-macros-1.0-13.el9.noarch 193/197 Verifying : perl-HTTP-Tiny-0.076-461.el9.noarch 194/197 Verifying : qt5-srpm-macros-5.15.9-1.el9.noarch 195/197 Verifying : rpm-build-4.16.1.3-27.el9_3.x86_64 196/197 Verifying : gdb-minimal-10.2-11.1.el9_3.x86_64 197/197 Installed products updated. Installed: alternatives-1.24-1.el9.x86_64 audit-libs-3.0.7-104.el9.x86_64 basesystem-11-13.el9.noarch bash-5.1.8-6.el9_1.x86_64 binutils-2.35.2-42.el9_3.1.x86_64 binutils-gold-2.35.2-42.el9_3.1.x86_64 bzip2-1.0.8-8.el9.x86_64 bzip2-libs-1.0.8-8.el9.x86_64 ca-certificates-2023.2.60_v7.0.306-90.1.el9_2.noarch coreutils-8.32-34.el9.x86_64 coreutils-common-8.32-34.el9.x86_64 cpio-2.13-16.el9.x86_64 cracklib-2.9.6-27.el9.x86_64 cracklib-dicts-2.9.6-27.el9.x86_64 crypto-policies-20230731-1.git94f0e2c.el9_3.1.noarch curl-7.76.1-26.el9_3.3.x86_64 cyrus-sasl-lib-2.1.27-21.el9.x86_64 debugedit-5.0-4.el9.x86_64 diffutils-3.7-12.el9.x86_64 dwz-0.14-3.el9.x86_64 ed-1.14.2-12.el9.x86_64 efi-srpm-macros-6-2.el9_0.noarch elfutils-0.189-3.el9.x86_64 elfutils-debuginfod-client-0.189-3.el9.x86_64 elfutils-default-yama-scope-0.189-3.el9.noarch elfutils-libelf-0.189-3.el9.x86_64 elfutils-libs-0.189-3.el9.x86_64 file-5.39-14.el9.x86_64 file-libs-5.39-14.el9.x86_64 filesystem-3.16-2.el9.x86_64 findutils-1:4.8.0-6.el9.x86_64 fonts-srpm-macros-1:2.0.5-7.el9.1.noarch gawk-5.1.0-6.el9.x86_64 gdb-minimal-10.2-11.1.el9_3.x86_64 gdbm-libs-1:1.19-4.el9.x86_64 ghc-srpm-macros-1.5.0-6.el9.noarch glibc-2.34-83.el9_3.12.x86_64 glibc-common-2.34-83.el9_3.12.x86_64 glibc-gconv-extra-2.34-83.el9_3.12.x86_64 glibc-minimal-langpack-2.34-83.el9_3.12.x86_64 gmp-1:6.2.0-13.el9.x86_64 go-srpm-macros-3.2.0-2.el9.noarch grep-3.6-5.el9.x86_64 groff-base-1.22.4-10.el9.x86_64 gzip-1.12-1.el9.x86_64 info-6.7-15.el9.x86_64 kernel-srpm-macros-1.0-13.el9.noarch keyutils-libs-1.6.3-1.el9.x86_64 krb5-libs-1.21.1-1.el9.x86_64 libacl-2.3.1-3.el9.x86_64 libarchive-3.5.3-4.el9.x86_64 libattr-2.5.1-3.el9.x86_64 libblkid-2.37.4-15.el9.x86_64 libbrotli-1.0.9-6.el9.x86_64 libcap-2.48-9.el9_2.x86_64 libcap-ng-0.8.2-7.el9.x86_64 libcom_err-1.46.5-3.el9.x86_64 libcurl-7.76.1-26.el9_3.3.x86_64 libdb-5.3.28-53.el9.x86_64 libeconf-0.4.1-3.el9_2.x86_64 libevent-2.1.12-6.el9.x86_64 libfdisk-2.37.4-15.el9.x86_64 libffi-3.4.2-8.el9.x86_64 libgcc-11.4.1-2.1.el9.x86_64 libgcrypt-1.10.0-10.el9_2.x86_64 libgomp-11.4.1-2.1.el9.x86_64 libgpg-error-1.42-5.el9.x86_64 libidn2-2.3.0-7.el9.x86_64 libmount-2.37.4-15.el9.x86_64 libnghttp2-1.43.0-5.el9_3.1.x86_64 libpkgconf-1.7.3-10.el9.x86_64 libpsl-0.21.1-5.el9.x86_64 libpwquality-1.4.4-8.el9.x86_64 libselinux-3.5-1.el9.x86_64 libsemanage-3.5-2.el9.x86_64 libsepol-3.5-1.el9.x86_64 libsigsegv-2.13-4.el9.x86_64 libsmartcols-2.37.4-15.el9.x86_64 libssh-0.10.4-12.el9_3.x86_64 libssh-config-0.10.4-12.el9_3.noarch libstdc++-11.4.1-2.1.el9.x86_64 libtasn1-4.16.0-8.el9_1.x86_64 libunistring-0.9.10-15.el9.x86_64 libutempter-1.2.1-6.el9.x86_64 libuuid-2.37.4-15.el9.x86_64 libverto-0.3.2-3.el9.x86_64 libxcrypt-4.4.18-3.el9.x86_64 libxml2-2.9.13-5.el9_3.x86_64 libzstd-1.5.1-2.el9.x86_64 lua-libs-5.4.4-4.el9.x86_64 lua-srpm-macros-1-6.el9.noarch lz4-libs-1.9.3-5.el9.x86_64 mpfr-4.1.0-7.el9.x86_64 ncurses-6.2-10.20210508.el9.x86_64 ncurses-base-6.2-10.20210508.el9.noarch ncurses-libs-6.2-10.20210508.el9.x86_64 ocaml-srpm-macros-6-6.el9.noarch openblas-srpm-macros-2-11.el9.noarch openldap-2.6.3-1.el9.x86_64 openssl-1:3.0.7-25.el9_3.x86_64 openssl-libs-1:3.0.7-25.el9_3.x86_64 p11-kit-0.24.1-2.el9.x86_64 p11-kit-trust-0.24.1-2.el9.x86_64 pam-1.5.1-15.el9.x86_64 patch-2.7.6-16.el9.x86_64 pcre-8.44-3.el9.3.x86_64 pcre2-10.40-2.el9.x86_64 pcre2-syntax-10.40-2.el9.noarch perl-AutoLoader-5.74-480.el9.noarch perl-B-1.80-480.el9.x86_64 perl-Carp-1.50-460.el9.noarch perl-Class-Struct-0.66-480.el9.noarch perl-Data-Dumper-2.174-462.el9.x86_64 perl-Digest-1.19-4.el9.noarch perl-Digest-MD5-2.58-4.el9.x86_64 perl-Encode-4:3.08-462.el9.x86_64 perl-Errno-1.30-480.el9.x86_64 perl-Exporter-5.74-461.el9.noarch perl-Fcntl-1.13-480.el9.x86_64 perl-File-Basename-2.85-480.el9.noarch perl-File-Path-2.18-4.el9.noarch perl-File-Temp-1:0.231.100-4.el9.noarch perl-File-stat-1.09-480.el9.noarch perl-FileHandle-2.03-480.el9.noarch perl-Getopt-Long-1:2.52-4.el9.noarch perl-Getopt-Std-1.12-480.el9.noarch perl-HTTP-Tiny-0.076-461.el9.noarch perl-IO-1.43-480.el9.x86_64 perl-IO-Socket-IP-0.41-5.el9.noarch perl-IO-Socket-SSL-2.073-1.el9.noarch perl-IPC-Open3-1.21-480.el9.noarch perl-MIME-Base64-3.16-4.el9.x86_64 perl-Mozilla-CA-20200520-6.el9.noarch perl-Net-SSLeay-1.92-2.el9.x86_64 perl-POSIX-1.94-480.el9.x86_64 perl-PathTools-3.78-461.el9.x86_64 perl-Pod-Escapes-1:1.07-460.el9.noarch perl-Pod-Perldoc-3.28.01-461.el9.noarch perl-Pod-Simple-1:3.42-4.el9.noarch perl-Pod-Usage-4:2.01-4.el9.noarch perl-Scalar-List-Utils-4:1.56-461.el9.x86_64 perl-SelectSaver-1.02-480.el9.noarch perl-Socket-4:2.031-4.el9.x86_64 perl-Storable-1:3.21-460.el9.x86_64 perl-Symbol-1.08-480.el9.noarch perl-Term-ANSIColor-5.01-461.el9.noarch perl-Term-Cap-1.17-460.el9.noarch perl-Text-ParseWords-3.30-460.el9.noarch perl-Text-Tabs+Wrap-2013.0523-460.el9.noarch perl-Time-Local-2:1.300-7.el9.noarch perl-URI-5.09-3.el9.noarch perl-base-2.27-480.el9.noarch perl-constant-1.33-461.el9.noarch perl-if-0.60.800-480.el9.noarch perl-interpreter-4:5.32.1-480.el9.x86_64 perl-libnet-3.13-4.el9.noarch perl-libs-4:5.32.1-480.el9.x86_64 perl-mro-1.23-480.el9.x86_64 perl-overload-1.31-480.el9.noarch perl-overloading-0.02-480.el9.noarch perl-parent-1:0.238-460.el9.noarch perl-podlators-1:4.14-460.el9.noarch perl-srpm-macros-1-41.el9.noarch perl-subs-1.03-480.el9.noarch perl-vars-1.05-480.el9.noarch pkgconf-1.7.3-10.el9.x86_64 pkgconf-m4-1.7.3-10.el9.noarch pkgconf-pkg-config-1.7.3-10.el9.x86_64 popt-1.18-8.el9.x86_64 publicsuffix-list-dafsa-20210518-3.el9.noarch pyproject-srpm-macros-1.9.0-1.el9.noarch python-srpm-macros-3.9-52.el9.noarch qt5-srpm-macros-5.15.9-1.el9.noarch readline-8.1-4.el9.x86_64 redhat-release-9.3-0.7.el9.x86_64 redhat-rpm-config-201-1.el9.noarch rpm-4.16.1.3-27.el9_3.x86_64 rpm-build-4.16.1.3-27.el9_3.x86_64 rpm-build-libs-4.16.1.3-27.el9_3.x86_64 rpm-libs-4.16.1.3-27.el9_3.x86_64 rust-srpm-macros-17-4.el9.noarch sed-4.8-9.el9.x86_64 setup-2.13.7-9.el9.noarch shadow-utils-2:4.9-8.el9.x86_64 sqlite-libs-3.34.1-7.el9_3.x86_64 systemd-libs-252-18.el9.x86_64 tar-2:1.34-6.el9_1.x86_64 tzdata-2024a-1.el9.noarch unzip-6.0-56.el9.x86_64 util-linux-2.37.4-15.el9.x86_64 util-linux-core-2.37.4-15.el9.x86_64 which-2.21-29.el9.x86_64 xz-5.2.5-8.el9_0.x86_64 xz-libs-5.2.5-8.el9_0.x86_64 zip-3.0-35.el9.x86_64 zlib-1.2.11-40.el9.x86_64 zstd-1.5.1-2.el9.x86_64 Complete! Finish: installing minimal buildroot with dnf Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: alternatives-1.24-1.el9.x86_64 audit-libs-3.0.7-104.el9.x86_64 basesystem-11-13.el9.noarch bash-5.1.8-6.el9_1.x86_64 binutils-2.35.2-42.el9_3.1.x86_64 binutils-gold-2.35.2-42.el9_3.1.x86_64 bzip2-1.0.8-8.el9.x86_64 bzip2-libs-1.0.8-8.el9.x86_64 ca-certificates-2023.2.60_v7.0.306-90.1.el9_2.noarch coreutils-8.32-34.el9.x86_64 coreutils-common-8.32-34.el9.x86_64 cpio-2.13-16.el9.x86_64 cracklib-2.9.6-27.el9.x86_64 cracklib-dicts-2.9.6-27.el9.x86_64 crypto-policies-20230731-1.git94f0e2c.el9_3.1.noarch curl-7.76.1-26.el9_3.3.x86_64 cyrus-sasl-lib-2.1.27-21.el9.x86_64 debugedit-5.0-4.el9.x86_64 diffutils-3.7-12.el9.x86_64 dwz-0.14-3.el9.x86_64 ed-1.14.2-12.el9.x86_64 efi-srpm-macros-6-2.el9_0.noarch elfutils-0.189-3.el9.x86_64 elfutils-debuginfod-client-0.189-3.el9.x86_64 elfutils-default-yama-scope-0.189-3.el9.noarch elfutils-libelf-0.189-3.el9.x86_64 elfutils-libs-0.189-3.el9.x86_64 file-5.39-14.el9.x86_64 file-libs-5.39-14.el9.x86_64 filesystem-3.16-2.el9.x86_64 findutils-4.8.0-6.el9.x86_64 fonts-srpm-macros-2.0.5-7.el9.1.noarch gawk-5.1.0-6.el9.x86_64 gdb-minimal-10.2-11.1.el9_3.x86_64 gdbm-libs-1.19-4.el9.x86_64 ghc-srpm-macros-1.5.0-6.el9.noarch glibc-2.34-83.el9_3.12.x86_64 glibc-common-2.34-83.el9_3.12.x86_64 glibc-gconv-extra-2.34-83.el9_3.12.x86_64 glibc-minimal-langpack-2.34-83.el9_3.12.x86_64 gmp-6.2.0-13.el9.x86_64 go-srpm-macros-3.2.0-2.el9.noarch gpg-pubkey-5a6340b3-6229229e gpg-pubkey-fd431d51-4ae0493b grep-3.6-5.el9.x86_64 groff-base-1.22.4-10.el9.x86_64 gzip-1.12-1.el9.x86_64 info-6.7-15.el9.x86_64 kernel-srpm-macros-1.0-13.el9.noarch keyutils-libs-1.6.3-1.el9.x86_64 krb5-libs-1.21.1-1.el9.x86_64 libacl-2.3.1-3.el9.x86_64 libarchive-3.5.3-4.el9.x86_64 libattr-2.5.1-3.el9.x86_64 libblkid-2.37.4-15.el9.x86_64 libbrotli-1.0.9-6.el9.x86_64 libcap-2.48-9.el9_2.x86_64 libcap-ng-0.8.2-7.el9.x86_64 libcom_err-1.46.5-3.el9.x86_64 libcurl-7.76.1-26.el9_3.3.x86_64 libdb-5.3.28-53.el9.x86_64 libeconf-0.4.1-3.el9_2.x86_64 libevent-2.1.12-6.el9.x86_64 libfdisk-2.37.4-15.el9.x86_64 libffi-3.4.2-8.el9.x86_64 libgcc-11.4.1-2.1.el9.x86_64 libgcrypt-1.10.0-10.el9_2.x86_64 libgomp-11.4.1-2.1.el9.x86_64 libgpg-error-1.42-5.el9.x86_64 libidn2-2.3.0-7.el9.x86_64 libmount-2.37.4-15.el9.x86_64 libnghttp2-1.43.0-5.el9_3.1.x86_64 libpkgconf-1.7.3-10.el9.x86_64 libpsl-0.21.1-5.el9.x86_64 libpwquality-1.4.4-8.el9.x86_64 libselinux-3.5-1.el9.x86_64 libsemanage-3.5-2.el9.x86_64 libsepol-3.5-1.el9.x86_64 libsigsegv-2.13-4.el9.x86_64 libsmartcols-2.37.4-15.el9.x86_64 libssh-0.10.4-12.el9_3.x86_64 libssh-config-0.10.4-12.el9_3.noarch libstdc++-11.4.1-2.1.el9.x86_64 libtasn1-4.16.0-8.el9_1.x86_64 libunistring-0.9.10-15.el9.x86_64 libutempter-1.2.1-6.el9.x86_64 libuuid-2.37.4-15.el9.x86_64 libverto-0.3.2-3.el9.x86_64 libxcrypt-4.4.18-3.el9.x86_64 libxml2-2.9.13-5.el9_3.x86_64 libzstd-1.5.1-2.el9.x86_64 lua-libs-5.4.4-4.el9.x86_64 lua-srpm-macros-1-6.el9.noarch lz4-libs-1.9.3-5.el9.x86_64 mpfr-4.1.0-7.el9.x86_64 ncurses-6.2-10.20210508.el9.x86_64 ncurses-base-6.2-10.20210508.el9.noarch ncurses-libs-6.2-10.20210508.el9.x86_64 ocaml-srpm-macros-6-6.el9.noarch openblas-srpm-macros-2-11.el9.noarch openldap-2.6.3-1.el9.x86_64 openssl-3.0.7-25.el9_3.x86_64 openssl-libs-3.0.7-25.el9_3.x86_64 p11-kit-0.24.1-2.el9.x86_64 p11-kit-trust-0.24.1-2.el9.x86_64 pam-1.5.1-15.el9.x86_64 patch-2.7.6-16.el9.x86_64 pcre-8.44-3.el9.3.x86_64 pcre2-10.40-2.el9.x86_64 pcre2-syntax-10.40-2.el9.noarch perl-AutoLoader-5.74-480.el9.noarch perl-B-1.80-480.el9.x86_64 perl-Carp-1.50-460.el9.noarch perl-Class-Struct-0.66-480.el9.noarch perl-Data-Dumper-2.174-462.el9.x86_64 perl-Digest-1.19-4.el9.noarch perl-Digest-MD5-2.58-4.el9.x86_64 perl-Encode-3.08-462.el9.x86_64 perl-Errno-1.30-480.el9.x86_64 perl-Exporter-5.74-461.el9.noarch perl-Fcntl-1.13-480.el9.x86_64 perl-File-Basename-2.85-480.el9.noarch perl-File-Path-2.18-4.el9.noarch perl-File-Temp-0.231.100-4.el9.noarch perl-File-stat-1.09-480.el9.noarch perl-FileHandle-2.03-480.el9.noarch perl-Getopt-Long-2.52-4.el9.noarch perl-Getopt-Std-1.12-480.el9.noarch perl-HTTP-Tiny-0.076-461.el9.noarch perl-IO-1.43-480.el9.x86_64 perl-IO-Socket-IP-0.41-5.el9.noarch perl-IO-Socket-SSL-2.073-1.el9.noarch perl-IPC-Open3-1.21-480.el9.noarch perl-MIME-Base64-3.16-4.el9.x86_64 perl-Mozilla-CA-20200520-6.el9.noarch perl-Net-SSLeay-1.92-2.el9.x86_64 perl-POSIX-1.94-480.el9.x86_64 perl-PathTools-3.78-461.el9.x86_64 perl-Pod-Escapes-1.07-460.el9.noarch perl-Pod-Perldoc-3.28.01-461.el9.noarch perl-Pod-Simple-3.42-4.el9.noarch perl-Pod-Usage-2.01-4.el9.noarch perl-Scalar-List-Utils-1.56-461.el9.x86_64 perl-SelectSaver-1.02-480.el9.noarch perl-Socket-2.031-4.el9.x86_64 perl-Storable-3.21-460.el9.x86_64 perl-Symbol-1.08-480.el9.noarch perl-Term-ANSIColor-5.01-461.el9.noarch perl-Term-Cap-1.17-460.el9.noarch perl-Text-ParseWords-3.30-460.el9.noarch perl-Text-Tabs+Wrap-2013.0523-460.el9.noarch perl-Time-Local-1.300-7.el9.noarch perl-URI-5.09-3.el9.noarch perl-base-2.27-480.el9.noarch perl-constant-1.33-461.el9.noarch perl-if-0.60.800-480.el9.noarch perl-interpreter-5.32.1-480.el9.x86_64 perl-libnet-3.13-4.el9.noarch perl-libs-5.32.1-480.el9.x86_64 perl-mro-1.23-480.el9.x86_64 perl-overload-1.31-480.el9.noarch perl-overloading-0.02-480.el9.noarch perl-parent-0.238-460.el9.noarch perl-podlators-4.14-460.el9.noarch perl-srpm-macros-1-41.el9.noarch perl-subs-1.03-480.el9.noarch perl-vars-1.05-480.el9.noarch pkgconf-1.7.3-10.el9.x86_64 pkgconf-m4-1.7.3-10.el9.noarch pkgconf-pkg-config-1.7.3-10.el9.x86_64 popt-1.18-8.el9.x86_64 publicsuffix-list-dafsa-20210518-3.el9.noarch pyproject-srpm-macros-1.9.0-1.el9.noarch python-srpm-macros-3.9-52.el9.noarch qt5-srpm-macros-5.15.9-1.el9.noarch readline-8.1-4.el9.x86_64 redhat-release-9.3-0.7.el9.x86_64 redhat-rpm-config-201-1.el9.noarch rpm-4.16.1.3-27.el9_3.x86_64 rpm-build-4.16.1.3-27.el9_3.x86_64 rpm-build-libs-4.16.1.3-27.el9_3.x86_64 rpm-libs-4.16.1.3-27.el9_3.x86_64 rust-srpm-macros-17-4.el9.noarch sed-4.8-9.el9.x86_64 setup-2.13.7-9.el9.noarch shadow-utils-4.9-8.el9.x86_64 sqlite-libs-3.34.1-7.el9_3.x86_64 systemd-libs-252-18.el9.x86_64 tar-1.34-6.el9_1.x86_64 tzdata-2024a-1.el9.noarch unzip-6.0-56.el9.x86_64 util-linux-2.37.4-15.el9.x86_64 util-linux-core-2.37.4-15.el9.x86_64 which-2.21-29.el9.x86_64 xz-5.2.5-8.el9_0.x86_64 xz-libs-5.2.5-8.el9_0.x86_64 zip-3.0-35.el9.x86_64 zlib-1.2.11-40.el9.x86_64 zstd-1.5.1-2.el9.x86_64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 Wrote: /builddir/build/SRPMS/verilator-5.020-1.el9.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/rhel-9-x86_64-1714412470.954286/root/var/log’: No such file or directory INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/rhel-9-x86_64-1714412470.954286/root/var/log/dnf.rpm.log /var/lib/mock/rhel-9-x86_64-1714412470.954286/root/var/log/dnf.librepo.log /var/lib/mock/rhel-9-x86_64-1714412470.954286/root/var/log/dnf.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-7bymn3l8/verilator/verilator.spec) Config(child) 0 minutes 32 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/verilator-5.020-1.el9.src.rpm) Config(rhel-9-x86_64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/rhel-9-x86_64-bootstrap-1714412470.954286/root. INFO: reusing tmpfs at /var/lib/mock/rhel-9-x86_64-bootstrap-1714412470.954286/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/rhel-9-x86_64-1714412470.954286/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.16.1.3-27.el9_3.x86_64 python3-dnf-4.14.0-8.el9.noarch python3-dnf-plugins-core-4.3.0-11.el9_3.noarch yum-4.14.0-8.el9.noarch Finish: chroot init Start: build phase for verilator-5.020-1.el9.src.rpm Start: build setup for verilator-5.020-1.el9.src.rpm Building target platforms: x86_64 Building for target x86_64 Wrote: /builddir/build/SRPMS/verilator-5.020-1.el9.src.rpm No matches found for the following disable plugin patterns: local, spacewalk, versionlock Updating Subscription Management repositories. Unable to read consumer identity This system is not registered with an entitlement server. You can use subscription-manager to register. Copr repository 52 kB/s | 1.5 kB 00:00 Red Hat Enterprise Linux - BaseOS 39 kB/s | 4.1 kB 00:00 Red Hat Enterprise Linux - AppStream 50 kB/s | 4.5 kB 00:00 Red Hat Enterprise Linux - CodeReady Linux Buil 22 kB/s | 4.5 kB 00:00 Package coreutils-8.32-34.el9.x86_64 is already installed. Package findutils-1:4.8.0-6.el9.x86_64 is already installed. Package perl-Data-Dumper-2.174-462.el9.x86_64 is already installed. Package perl-Digest-MD5-2.58-4.el9.x86_64 is already installed. Package perl-Getopt-Long-1:2.52-4.el9.noarch is already installed. Package perl-IO-1.43-480.el9.x86_64 is already installed. Package perl-Pod-Usage-4:2.01-4.el9.noarch is already installed. Package perl-libs-4:5.32.1-480.el9.x86_64 is already installed. Package perl-vars-1.05-480.el9.noarch is already installed. Package perl-interpreter-4:5.32.1-480.el9.x86_64 is already installed. Package sed-4.8-9.el9.x86_64 is already installed. Dependencies resolved. ================================================================================ Package Arch Version Repository Size ================================================================================ Installing: autoconf noarch 2.69-38.el9 appstream 685 k bison x86_64 3.7.4-5.el9 appstream 947 k flex x86_64 2.6.4-9.el9 appstream 318 k gcc x86_64 11.4.1-2.1.el9 appstream 32 M gcc-c++ x86_64 11.4.1-2.1.el9 appstream 13 M gdb x86_64 10.2-11.1.el9_3 appstream 123 k help2man noarch 1.48.2-3.el9 codeready-builder 215 k make x86_64 1:4.3-7.el9 baseos 542 k perl-FindBin noarch 1.51-480.el9 appstream 16 k perl-Time-HiRes x86_64 4:1.9764-462.el9 appstream 61 k perl-generators noarch 1.11-12.el9 appstream 18 k perl-lib x86_64 0.65-480.el9 appstream 17 k perl-version x86_64 7:0.99.28-4.el9 appstream 67 k python3-devel x86_64 3.9.18-1.el9_3.1 appstream 249 k Installing dependencies: annobin x86_64 12.12-1.el9 appstream 982 k boost-regex x86_64 1.75.0-8.el9 appstream 279 k cpp x86_64 11.4.1-2.1.el9 appstream 11 M emacs-filesystem noarch 1:27.2-9.el9 appstream 9.6 k expat x86_64 2.5.0-1.el9_3.1 baseos 119 k gcc-plugin-annobin x86_64 11.4.1-2.1.el9 appstream 51 k gdb-headless x86_64 10.2-11.1.el9_3 appstream 3.9 M glib2 x86_64 2.68.4-11.el9 baseos 2.6 M glibc-devel x86_64 2.34-83.el9_3.12 appstream 50 k glibc-headers x86_64 2.34-83.el9_3.12 appstream 551 k gnutls x86_64 3.7.6-23.el9_3.4 baseos 1.0 M kernel-headers x86_64 5.14.0-362.24.1.el9_3 appstream 6.5 M libbabeltrace x86_64 1.5.8-10.el9 appstream 194 k libedit x86_64 3.1-38.20210216cvs.el9 baseos 107 k libicu x86_64 67.1-9.el9 baseos 9.6 M libipt x86_64 2.0.4-5.el9 appstream 58 k libmpc x86_64 1.2.1-4.el9 appstream 65 k libstdc++-devel x86_64 11.4.1-2.1.el9 appstream 2.4 M libxcrypt-devel x86_64 4.4.18-3.el9 appstream 32 k llvm-libs x86_64 16.0.6-4.el9 appstream 24 M m4 x86_64 1.4.19-1.el9 appstream 304 k nettle x86_64 3.8-3.el9_0 baseos 552 k perl-DynaLoader x86_64 1.47-480.el9 appstream 27 k perl-Fedora-VSP noarch 0.001-23.el9 appstream 25 k perl-File-Compare noarch 1.100.600-480.el9 appstream 15 k perl-File-Copy noarch 2.34-480.el9 appstream 22 k perl-File-Find noarch 1.37-480.el9 appstream 27 k perl-locale noarch 1.09-480.el9 appstream 15 k perl-macros noarch 4:5.32.1-480.el9 appstream 12 k python-rpm-macros noarch 3.9-52.el9 appstream 20 k python3 x86_64 3.9.18-1.el9_3.1 baseos 29 k python3-libs x86_64 3.9.18-1.el9_3.1 baseos 7.8 M python3-packaging noarch 20.9-5.el9 appstream 81 k python3-pip-wheel noarch 21.2.3-7.el9_3.1 baseos 1.1 M python3-pyparsing noarch 2.4.7-9.el9 baseos 154 k python3-rpm-generators noarch 12-8.el9 appstream 33 k python3-rpm-macros noarch 3.9-52.el9 appstream 16 k python3-setuptools noarch 53.0.0-12.el9 baseos 948 k python3-setuptools-wheel noarch 53.0.0-12.el9 baseos 470 k source-highlight x86_64 3.1.9-11.el9 appstream 685 k Transaction Summary ================================================================================ Install 54 Packages Total download size: 124 M Installed size: 385 M Downloading Packages: (1/54): python3-pyparsing-2.4.7-9.el9.noarch.rp 1.2 MB/s | 154 kB 00:00 (2/54): make-4.3-7.el9.x86_64.rpm 3.6 MB/s | 542 kB 00:00 (3/54): libicu-67.1-9.el9.x86_64.rpm 51 MB/s | 9.6 MB 00:00 (4/54): nettle-3.8-3.el9_0.x86_64.rpm 5.8 MB/s | 552 kB 00:00 (5/54): python3-setuptools-53.0.0-12.el9.noarch 12 MB/s | 948 kB 00:00 (6/54): python3-setuptools-wheel-53.0.0-12.el9. 7.5 MB/s | 470 kB 00:00 (7/54): glib2-2.68.4-11.el9.x86_64.rpm 33 MB/s | 2.6 MB 00:00 (8/54): python3-3.9.18-1.el9_3.1.x86_64.rpm 388 kB/s | 29 kB 00:00 (9/54): python3-libs-3.9.18-1.el9_3.1.x86_64.rp 86 MB/s | 7.8 MB 00:00 (10/54): python3-pip-wheel-21.2.3-7.el9_3.1.noa 17 MB/s | 1.1 MB 00:00 (11/54): libedit-3.1-38.20210216cvs.el9.x86_64. 550 kB/s | 107 kB 00:00 (12/54): expat-2.5.0-1.el9_3.1.x86_64.rpm 1.9 MB/s | 119 kB 00:00 (13/54): gnutls-3.7.6-23.el9_3.4.x86_64.rpm 16 MB/s | 1.0 MB 00:00 (14/54): libipt-2.0.4-5.el9.x86_64.rpm 960 kB/s | 58 kB 00:00 (15/54): perl-version-0.99.28-4.el9.x86_64.rpm 829 kB/s | 67 kB 00:00 (16/54): perl-Time-HiRes-1.9764-462.el9.x86_64. 382 kB/s | 61 kB 00:00 (17/54): perl-generators-1.11-12.el9.noarch.rpm 246 kB/s | 18 kB 00:00 (18/54): bison-3.7.4-5.el9.x86_64.rpm 14 MB/s | 947 kB 00:00 (19/54): python-rpm-macros-3.9-52.el9.noarch.rp 332 kB/s | 20 kB 00:00 (20/54): libmpc-1.2.1-4.el9.x86_64.rpm 799 kB/s | 65 kB 00:00 (21/54): libxcrypt-devel-4.4.18-3.el9.x86_64.rp 387 kB/s | 32 kB 00:00 (22/54): python3-rpm-generators-12-8.el9.noarch 567 kB/s | 33 kB 00:00 (23/54): source-highlight-3.1.9-11.el9.x86_64.r 11 MB/s | 685 kB 00:00 (24/54): python3-rpm-macros-3.9-52.el9.noarch.r 181 kB/s | 16 kB 00:00 (25/54): autoconf-2.69-38.el9.noarch.rpm 8.6 MB/s | 685 kB 00:00 (26/54): python3-packaging-20.9-5.el9.noarch.rp 1.3 MB/s | 81 kB 00:00 (27/54): flex-2.6.4-9.el9.x86_64.rpm 5.1 MB/s | 318 kB 00:00 (28/54): perl-Fedora-VSP-0.001-23.el9.noarch.rp 423 kB/s | 25 kB 00:00 (29/54): boost-regex-1.75.0-8.el9.x86_64.rpm 4.7 MB/s | 279 kB 00:00 (30/54): m4-1.4.19-1.el9.x86_64.rpm 3.2 MB/s | 304 kB 00:00 (31/54): libbabeltrace-1.5.8-10.el9.x86_64.rpm 3.2 MB/s | 194 kB 00:00 (32/54): perl-DynaLoader-1.47-480.el9.x86_64.rp 484 kB/s | 27 kB 00:00 (33/54): perl-File-Copy-2.34-480.el9.noarch.rpm 365 kB/s | 22 kB 00:00 (34/54): perl-File-Compare-1.100.600-480.el9.no 132 kB/s | 15 kB 00:00 (35/54): perl-FindBin-1.51-480.el9.noarch.rpm 260 kB/s | 16 kB 00:00 (36/54): perl-lib-0.65-480.el9.x86_64.rpm 265 kB/s | 17 kB 00:00 (37/54): perl-File-Find-1.37-480.el9.noarch.rpm 172 kB/s | 27 kB 00:00 (38/54): perl-locale-1.09-480.el9.noarch.rpm 262 kB/s | 15 kB 00:00 (39/54): perl-macros-5.32.1-480.el9.noarch.rpm 174 kB/s | 12 kB 00:00 (40/54): emacs-filesystem-27.2-9.el9.noarch.rpm 165 kB/s | 9.6 kB 00:00 (41/54): cpp-11.4.1-2.1.el9.x86_64.rpm 88 MB/s | 11 MB 00:00 (42/54): llvm-libs-16.0.6-4.el9.x86_64.rpm 145 MB/s | 24 MB 00:00 (43/54): gcc-c++-11.4.1-2.1.el9.x86_64.rpm 89 MB/s | 13 MB 00:00 (44/54): gcc-11.4.1-2.1.el9.x86_64.rpm 120 MB/s | 32 MB 00:00 (45/54): libstdc++-devel-11.4.1-2.1.el9.x86_64. 32 MB/s | 2.4 MB 00:00 (46/54): gcc-plugin-annobin-11.4.1-2.1.el9.x86_ 289 kB/s | 51 kB 00:00 (47/54): annobin-12.12-1.el9.x86_64.rpm 13 MB/s | 982 kB 00:00 (48/54): python3-devel-3.9.18-1.el9_3.1.x86_64. 2.6 MB/s | 249 kB 00:00 (49/54): gdb-headless-10.2-11.1.el9_3.x86_64.rp 54 MB/s | 3.9 MB 00:00 (50/54): gdb-10.2-11.1.el9_3.x86_64.rpm 1.3 MB/s | 123 kB 00:00 (51/54): glibc-devel-2.34-83.el9_3.12.x86_64.rp 521 kB/s | 50 kB 00:00 (52/54): glibc-headers-2.34-83.el9_3.12.x86_64. 9.2 MB/s | 551 kB 00:00 (53/54): help2man-1.48.2-3.el9.noarch.rpm 3.1 MB/s | 215 kB 00:00 (54/54): kernel-headers-5.14.0-362.24.1.el9_3.x 53 MB/s | 6.5 MB 00:00 -------------------------------------------------------------------------------- Total 73 MB/s | 124 MB 00:01 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : m4-1.4.19-1.el9.x86_64 1/54 Installing : libmpc-1.2.1-4.el9.x86_64 2/54 Installing : python-rpm-macros-3.9-52.el9.noarch 3/54 Installing : expat-2.5.0-1.el9_3.1.x86_64 4/54 Installing : python3-rpm-macros-3.9-52.el9.noarch 5/54 Installing : cpp-11.4.1-2.1.el9.x86_64 6/54 Installing : kernel-headers-5.14.0-362.24.1.el9_3.x86_64 7/54 Installing : glibc-headers-2.34-83.el9_3.12.x86_64 8/54 Installing : glibc-devel-2.34-83.el9_3.12.x86_64 9/54 Installing : libxcrypt-devel-4.4.18-3.el9.x86_64 10/54 Installing : libstdc++-devel-11.4.1-2.1.el9.x86_64 11/54 Installing : emacs-filesystem-1:27.2-9.el9.noarch 12/54 Installing : perl-macros-4:5.32.1-480.el9.noarch 13/54 Installing : perl-locale-1.09-480.el9.noarch 14/54 Installing : perl-version-7:0.99.28-4.el9.x86_64 15/54 Installing : perl-File-Find-1.37-480.el9.noarch 16/54 Installing : perl-File-Copy-2.34-480.el9.noarch 17/54 Installing : perl-File-Compare-1.100.600-480.el9.noarch 18/54 Installing : perl-DynaLoader-1.47-480.el9.x86_64 19/54 Installing : perl-Fedora-VSP-0.001-23.el9.noarch 20/54 Installing : libipt-2.0.4-5.el9.x86_64 21/54 Installing : python3-pip-wheel-21.2.3-7.el9_3.1.noarch 22/54 Installing : libedit-3.1-38.20210216cvs.el9.x86_64 23/54 Installing : llvm-libs-16.0.6-4.el9.x86_64 24/54 Installing : python3-setuptools-wheel-53.0.0-12.el9.noarch 25/54 Installing : python3-3.9.18-1.el9_3.1.x86_64 26/54 Installing : python3-libs-3.9.18-1.el9_3.1.x86_64 27/54 Installing : python3-pyparsing-2.4.7-9.el9.noarch 28/54 Installing : python3-packaging-20.9-5.el9.noarch 29/54 Installing : python3-rpm-generators-12-8.el9.noarch 30/54 Installing : python3-setuptools-53.0.0-12.el9.noarch 31/54 Installing : nettle-3.8-3.el9_0.x86_64 32/54 Installing : gnutls-3.7.6-23.el9_3.4.x86_64 33/54 Installing : glib2-2.68.4-11.el9.x86_64 34/54 Installing : libbabeltrace-1.5.8-10.el9.x86_64 35/54 Installing : make-1:4.3-7.el9.x86_64 36/54 Installing : gcc-11.4.1-2.1.el9.x86_64 37/54 Running scriptlet: gcc-11.4.1-2.1.el9.x86_64 37/54 Installing : libicu-67.1-9.el9.x86_64 38/54 Installing : boost-regex-1.75.0-8.el9.x86_64 39/54 Installing : source-highlight-3.1.9-11.el9.x86_64 40/54 Installing : gdb-headless-10.2-11.1.el9_3.x86_64 41/54 Installing : gdb-10.2-11.1.el9_3.x86_64 42/54 Installing : gcc-c++-11.4.1-2.1.el9.x86_64 43/54 Installing : gcc-plugin-annobin-11.4.1-2.1.el9.x86_64 44/54 Running scriptlet: gcc-plugin-annobin-11.4.1-2.1.el9.x86_64 44/54 Installing : annobin-12.12-1.el9.x86_64 45/54 Running scriptlet: annobin-12.12-1.el9.x86_64 45/54 Installing : python3-devel-3.9.18-1.el9_3.1.x86_64 46/54 Installing : perl-generators-1.11-12.el9.noarch 47/54 Installing : autoconf-2.69-38.el9.noarch 48/54 Installing : bison-3.7.4-5.el9.x86_64 49/54 Installing : flex-2.6.4-9.el9.x86_64 50/54 Installing : help2man-1.48.2-3.el9.noarch 51/54 Installing : perl-lib-0.65-480.el9.x86_64 52/54 Installing : perl-FindBin-1.51-480.el9.noarch 53/54 Installing : perl-Time-HiRes-4:1.9764-462.el9.x86_64 54/54 Running scriptlet: perl-Time-HiRes-4:1.9764-462.el9.x86_64 54/54 Verifying : libicu-67.1-9.el9.x86_64 1/54 Verifying : make-1:4.3-7.el9.x86_64 2/54 Verifying : python3-pyparsing-2.4.7-9.el9.noarch 3/54 Verifying : nettle-3.8-3.el9_0.x86_64 4/54 Verifying : python3-setuptools-53.0.0-12.el9.noarch 5/54 Verifying : python3-setuptools-wheel-53.0.0-12.el9.noarch 6/54 Verifying : glib2-2.68.4-11.el9.x86_64 7/54 Verifying : libedit-3.1-38.20210216cvs.el9.x86_64 8/54 Verifying : python3-3.9.18-1.el9_3.1.x86_64 9/54 Verifying : python3-libs-3.9.18-1.el9_3.1.x86_64 10/54 Verifying : python3-pip-wheel-21.2.3-7.el9_3.1.noarch 11/54 Verifying : expat-2.5.0-1.el9_3.1.x86_64 12/54 Verifying : gnutls-3.7.6-23.el9_3.4.x86_64 13/54 Verifying : perl-Time-HiRes-4:1.9764-462.el9.x86_64 14/54 Verifying : perl-version-7:0.99.28-4.el9.x86_64 15/54 Verifying : libipt-2.0.4-5.el9.x86_64 16/54 Verifying : perl-generators-1.11-12.el9.noarch 17/54 Verifying : bison-3.7.4-5.el9.x86_64 18/54 Verifying : libmpc-1.2.1-4.el9.x86_64 19/54 Verifying : libxcrypt-devel-4.4.18-3.el9.x86_64 20/54 Verifying : python-rpm-macros-3.9-52.el9.noarch 21/54 Verifying : python3-rpm-generators-12-8.el9.noarch 22/54 Verifying : python3-rpm-macros-3.9-52.el9.noarch 23/54 Verifying : source-highlight-3.1.9-11.el9.x86_64 24/54 Verifying : autoconf-2.69-38.el9.noarch 25/54 Verifying : python3-packaging-20.9-5.el9.noarch 26/54 Verifying : flex-2.6.4-9.el9.x86_64 27/54 Verifying : m4-1.4.19-1.el9.x86_64 28/54 Verifying : perl-Fedora-VSP-0.001-23.el9.noarch 29/54 Verifying : boost-regex-1.75.0-8.el9.x86_64 30/54 Verifying : libbabeltrace-1.5.8-10.el9.x86_64 31/54 Verifying : perl-DynaLoader-1.47-480.el9.x86_64 32/54 Verifying : perl-File-Compare-1.100.600-480.el9.noarch 33/54 Verifying : perl-File-Copy-2.34-480.el9.noarch 34/54 Verifying : perl-File-Find-1.37-480.el9.noarch 35/54 Verifying : perl-FindBin-1.51-480.el9.noarch 36/54 Verifying : perl-lib-0.65-480.el9.x86_64 37/54 Verifying : perl-locale-1.09-480.el9.noarch 38/54 Verifying : perl-macros-4:5.32.1-480.el9.noarch 39/54 Verifying : cpp-11.4.1-2.1.el9.x86_64 40/54 Verifying : emacs-filesystem-1:27.2-9.el9.noarch 41/54 Verifying : llvm-libs-16.0.6-4.el9.x86_64 42/54 Verifying : gcc-11.4.1-2.1.el9.x86_64 43/54 Verifying : gcc-c++-11.4.1-2.1.el9.x86_64 44/54 Verifying : gcc-plugin-annobin-11.4.1-2.1.el9.x86_64 45/54 Verifying : libstdc++-devel-11.4.1-2.1.el9.x86_64 46/54 Verifying : annobin-12.12-1.el9.x86_64 47/54 Verifying : python3-devel-3.9.18-1.el9_3.1.x86_64 48/54 Verifying : gdb-10.2-11.1.el9_3.x86_64 49/54 Verifying : gdb-headless-10.2-11.1.el9_3.x86_64 50/54 Verifying : glibc-devel-2.34-83.el9_3.12.x86_64 51/54 Verifying : glibc-headers-2.34-83.el9_3.12.x86_64 52/54 Verifying : kernel-headers-5.14.0-362.24.1.el9_3.x86_64 53/54 Verifying : help2man-1.48.2-3.el9.noarch 54/54 Installed products updated. Installed: annobin-12.12-1.el9.x86_64 autoconf-2.69-38.el9.noarch bison-3.7.4-5.el9.x86_64 boost-regex-1.75.0-8.el9.x86_64 cpp-11.4.1-2.1.el9.x86_64 emacs-filesystem-1:27.2-9.el9.noarch expat-2.5.0-1.el9_3.1.x86_64 flex-2.6.4-9.el9.x86_64 gcc-11.4.1-2.1.el9.x86_64 gcc-c++-11.4.1-2.1.el9.x86_64 gcc-plugin-annobin-11.4.1-2.1.el9.x86_64 gdb-10.2-11.1.el9_3.x86_64 gdb-headless-10.2-11.1.el9_3.x86_64 glib2-2.68.4-11.el9.x86_64 glibc-devel-2.34-83.el9_3.12.x86_64 glibc-headers-2.34-83.el9_3.12.x86_64 gnutls-3.7.6-23.el9_3.4.x86_64 help2man-1.48.2-3.el9.noarch kernel-headers-5.14.0-362.24.1.el9_3.x86_64 libbabeltrace-1.5.8-10.el9.x86_64 libedit-3.1-38.20210216cvs.el9.x86_64 libicu-67.1-9.el9.x86_64 libipt-2.0.4-5.el9.x86_64 libmpc-1.2.1-4.el9.x86_64 libstdc++-devel-11.4.1-2.1.el9.x86_64 libxcrypt-devel-4.4.18-3.el9.x86_64 llvm-libs-16.0.6-4.el9.x86_64 m4-1.4.19-1.el9.x86_64 make-1:4.3-7.el9.x86_64 nettle-3.8-3.el9_0.x86_64 perl-DynaLoader-1.47-480.el9.x86_64 perl-Fedora-VSP-0.001-23.el9.noarch perl-File-Compare-1.100.600-480.el9.noarch perl-File-Copy-2.34-480.el9.noarch perl-File-Find-1.37-480.el9.noarch perl-FindBin-1.51-480.el9.noarch perl-Time-HiRes-4:1.9764-462.el9.x86_64 perl-generators-1.11-12.el9.noarch perl-lib-0.65-480.el9.x86_64 perl-locale-1.09-480.el9.noarch perl-macros-4:5.32.1-480.el9.noarch perl-version-7:0.99.28-4.el9.x86_64 python-rpm-macros-3.9-52.el9.noarch python3-3.9.18-1.el9_3.1.x86_64 python3-devel-3.9.18-1.el9_3.1.x86_64 python3-libs-3.9.18-1.el9_3.1.x86_64 python3-packaging-20.9-5.el9.noarch python3-pip-wheel-21.2.3-7.el9_3.1.noarch python3-pyparsing-2.4.7-9.el9.noarch python3-rpm-generators-12-8.el9.noarch python3-rpm-macros-3.9-52.el9.noarch python3-setuptools-53.0.0-12.el9.noarch python3-setuptools-wheel-53.0.0-12.el9.noarch source-highlight-3.1.9-11.el9.x86_64 Complete! Finish: build setup for verilator-5.020-1.el9.src.rpm Start: rpmbuild verilator-5.020-1.el9.src.rpm Building target platforms: x86_64 Building for target x86_64 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.X2swnh + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf verilator-5.020 + /usr/bin/gzip -dc /builddir/build/SOURCES/verilator-5.020.tar.gz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + cd verilator-5.020 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + find . -name .gitignore -delete + export VERILATOR_ROOT=/usr/share + VERILATOR_ROOT=/usr/share + autoconf + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + '[' '-flto=auto -ffat-lto-objectsx' '!=' x ']' ++ find . -type f -name configure -print + for file in $(find . -type f -name configure -print) + /usr/bin/sed -r --in-place=.backup 's/^char \(\*f\) \(\) = /__attribute__ ((used)) char (*f) () = /g' ./configure + diff -u ./configure.backup ./configure + mv ./configure.backup ./configure + /usr/bin/sed -r --in-place=.backup 's/^char \(\*f\) \(\);/__attribute__ ((used)) char (*f) ();/g' ./configure + diff -u ./configure.backup ./configure + mv ./configure.backup ./configure + /usr/bin/sed -r --in-place=.backup 's/^char \$2 \(\);/__attribute__ ((used)) char \$2 ();/g' ./configure + diff -u ./configure.backup ./configure + mv ./configure.backup ./configure + /usr/bin/sed --in-place=.backup '1{$!N;$!N};$!N;s/int x = 1;\nint y = 0;\nint z;\nint nan;/volatile int x = 1; volatile int y = 0; volatile int z, nan;/;P;D' ./configure + diff -u ./configure.backup ./configure + mv ./configure.backup ./configure + /usr/bin/sed --in-place=.backup 's#^lt_cv_sys_global_symbol_to_cdecl=.*#lt_cv_sys_global_symbol_to_cdecl="sed -n -e '\''s/^T .* \\(.*\\)$/extern int \\1();/p'\'' -e '\''s/^$symcode* .* \\(.*\\)$/extern char \\1;/p'\''"#' ./configure + diff -u ./configure.backup ./configure + mv ./configure.backup ./configure + '[' 1 = 1 ']' +++ dirname ./configure ++ find . -name config.guess -o -name config.sub + '[' 1 = 1 ']' + '[' x '!=' 'x-Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld' ']' ++ find . -name ltmain.sh + ./configure --build=x86_64-redhat-linux-gnu --host=x86_64-redhat-linux-gnu --program-prefix= --disable-dependency-tracking --prefix=/usr --exec-prefix=/usr --bindir=/usr/bin --sbindir=/usr/sbin --sysconfdir=/etc --datadir=/usr/share --includedir=/usr/include --libdir=/usr/lib64 --libexecdir=/usr/libexec --localstatedir=/var --sharedstatedir=/var/lib --mandir=/usr/share/man --infodir=/usr/share/info --disable-ccwarn --enable-defenv --disable-longtests configuring for Verilator 5.020 2024-01-01 checking whether to perform partial static linking of Verilator binary... yes checking whether to use tcmalloc... check checking whether to use -m32... no checking whether to build for coverage collection... no checking whether to use hardcoded paths... yes checking whether to show and stop on compilation warnings... no checking whether to run long tests... no checking for x86_64-redhat-linux-gnu-gcc... gcc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether gcc accepts -g... yes checking for gcc option to accept ISO C89... none needed checking whether we are using the GNU C++ compiler... yes checking whether g++ accepts -g... yes checking for a BSD-compatible install... /usr/bin/install -c compiler is g++ --version = g++ (GCC) 11.4.1 20230605 (Red Hat 11.4.1-2) checking that C++ compiler can compile simple program... yes checking for ar... ar checking for perl... /usr/bin/perl checking for python3... /usr/bin/python3 checking for flex... /usr/bin/flex /usr/bin/flex --version = flex 2.6.4 checking for bison... /usr/bin/bison /usr/bin/bison --version = bison (GNU Bison) 3.7.4 checking for ccache... no checking how to run the C++ preprocessor... g++ -E checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking for size_t... yes checking for size_t... (cached) yes checking for inline... inline checking whether g++ accepts -pg... yes checking whether g++ accepts -std=gnu++17... yes checking whether g++ accepts -Wextra... yes checking whether g++ accepts -Wfloat-conversion... yes checking whether g++ accepts -Wlogical-op... yes checking whether g++ accepts -Wthread-safety... no checking whether g++ accepts -fcoroutines-ts... no checking whether g++ accepts -fcoroutines... yes checking whether coroutines are supported by g++... yes checking whether g++ accepts -Qunused-arguments... no checking whether g++ accepts -faligned-new... yes checking whether g++ accepts -Wno-unused-parameter... yes checking whether g++ accepts -Wno-shadow... yes checking whether g++ accepts -Wno-char-subscripts... yes checking whether g++ accepts -Wno-null-conversion... no checking whether g++ accepts -Wno-parentheses-equality... no checking whether g++ accepts -Wno-unused... yes checking whether g++ accepts -Og... yes checking whether g++ accepts -ggdb... yes checking whether g++ accepts -gz... yes checking whether g++ linker accepts -gz... yes checking whether g++ accepts -faligned-new... yes checking whether g++ accepts -fbracket-depth=4096... no checking whether g++ accepts -fcf-protection=none... yes checking whether g++ accepts -mno-cet... no checking whether g++ accepts -Qunused-arguments... no checking whether g++ accepts -Wno-bool-operation... yes checking whether g++ accepts -Wno-c++11-narrowing... no checking whether g++ accepts -Wno-constant-logical-operand... no checking whether g++ accepts -Wno-non-pod-varargs... no checking whether g++ accepts -Wno-overloaded-virtual... yes checking whether g++ accepts -Wno-parentheses-equality... no checking whether g++ accepts -Wno-shadow... yes checking whether g++ accepts -Wno-sign-compare... yes checking whether g++ accepts -Wno-tautological-bitwise-compare... no checking whether g++ accepts -Wno-uninitialized... yes checking whether g++ accepts -Wno-unused-but-set-parameter... yes checking whether g++ accepts -Wno-unused-but-set-variable... yes checking whether g++ accepts -Wno-unused-parameter... yes checking whether g++ accepts -Wno-unused-variable... yes checking whether g++ linker accepts -mt... no checking whether g++ linker accepts -pthread... yes checking whether g++ linker accepts -lpthread... yes checking whether g++ linker accepts -latomic... no checking whether g++ linker accepts -fuse-ld=mold... no checking whether g++ linker accepts -fuse-ld=mold... no checking whether g++ linker accepts -static-libgcc... yes checking whether g++ linker accepts -static-libstdc++... no checking whether g++ linker accepts -Xlinker -gc-sections... yes checking whether g++ linker accepts -lpthread... yes checking whether g++ linker accepts -lbcrypt... no checking whether g++ linker accepts -lpsapi... no checking whether g++ linker accepts -l:libtcmalloc_minimal.a... no checking whether g++ supports C++14... yes checking for g++ precompile header include option... -include checking for struct stat.st_mtim.tv_nsec... yes checking whether SystemC is found (in system path)... no configure: creating ./config.status config.status: creating Makefile config.status: creating src/Makefile config.status: creating src/Makefile_obj config.status: creating include/verilated.mk config.status: creating include/verilated_config.h config.status: creating verilator.pc config.status: creating verilator-config.cmake config.status: creating verilator-config-version.cmake config.status: creating src/config_package.h Now type 'make' (or sometimes 'gmake') to build Verilator. + find -name Makefile_obj -exec sed -i -e 's|^\(COPT = .*\)|\1 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection|' -e 's|^#LDFLAGS += .*|LDFLAGS += -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 |' '{}' ';' + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.tah0AN + umask 022 + cd /builddir/build/BUILD + cd verilator-5.020 + /usr/bin/make -O -j4 V=1 VERBOSE=1 ------------------------------------------------------------ making verilator in src /usr/bin/make -C src make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/src' mkdir -p obj_dbg make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/src' /usr/bin/python3 ./config_rev . >config_rev.h /bin/sh: line 1: git: command not found /bin/sh: line 1: git: command not found %Warning: No git revision found in config_rev.py make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/src' mkdir -p obj_opt make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src' /usr/bin/make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: warning: -j1 forced in submake: resetting jobserver mode. make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h --classes pod2man bin/verilator_coverage verilator_coverage.1 pod2man bin/verilator verilator.1 /usr/bin/make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov help2man --no-info --no-discard-stderr --version-string=- bin/verilator_gantt -o verilator_gantt.1 /usr/bin/make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: warning: -j1 forced in submake: resetting jobserver mode. make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h --classes make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/python3 ../vlcovgen --srcdir .. touch vlcovgen.d make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Compile flags: g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' help2man --no-info --no-discard-stderr --version-string=- bin/verilator_profcfunc -o verilator_profcfunc.1 If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/python3 ../bisonpre --yacc /usr/bin/bison -d -v -o V3ParseBison.c ../verilog.y make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../VlcMain.cpp -o VlcMain.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Compile flags: g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Linking ../../bin/verilator_coverage_bin_dbg... g++ -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -gz -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_coverage_bin_dbg VlcMain.o -lpthread -lm lto-wrapper: warning: using serial compilation of 3 LTRANS jobs make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h V3Const.cpp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' edit ../verilog.y V3ParseBison_pretmp.y /usr/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' Compile flags: g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/python3 ../astgen -I .. --astdef V3AstNodeDType.h --astdef V3AstNodeExpr.h --astdef V3AstNodeOther.h --dfgdef V3DfgVertices.h V3Const.cpp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Error.cpp -o V3Error.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3FileLine.cpp -o V3FileLine.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Graph.cpp -o V3Graph.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ThreadPool.cpp -o V3ThreadPool.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAcyc.cpp -o V3GraphAcyc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphAlg.cpp -o V3GraphAlg.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphPathChecker.cpp -o V3GraphPathChecker.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3GraphTest.cpp -o V3GraphTest.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Hash.cpp -o V3Hash.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstMT.h -o V3PchAstMT.h.gch make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3OptionParser.cpp -o V3OptionParser.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Ast.cpp -o V3Ast.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstNoMT.h -o V3PchAstNoMT.h.gch make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Os.cpp -o V3Os.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Broken.cpp -o V3Broken.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Config.cpp -o V3Config.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCBase.cpp -o V3EmitCBase.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3AstNodes.cpp -o V3AstNodes.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCHeaders.cpp -o V3EmitCHeaders.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCPch.cpp -o V3EmitCPch.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitV.cpp -o V3EmitV.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3File.cpp -o V3File.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Global.cpp -o V3Global.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCImp.cpp -o V3EmitCImp.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Hasher.cpp -o V3Hasher.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Number.cpp -o V3Number.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Stats.cpp -o V3Stats.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3StatsReport.cpp -o V3StatsReport.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Active.cpp -o V3Active.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ActiveTop.cpp -o V3ActiveTop.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Assert.cpp -o V3Assert.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Options.cpp -o V3Options.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Branch.cpp -o V3Branch.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Begin.cpp -o V3Begin.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3AssertPre.cpp -o V3AssertPre.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseGrammar.cpp -o V3ParseGrammar.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CCtors.cpp -o V3CCtors.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CUse.cpp -o V3CUse.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Case.cpp -o V3Case.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Cast.cpp -o V3Cast.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Class.cpp -o V3Class.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clock.cpp -o V3Clock.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clean.cpp -o V3Clean.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Combine.cpp -o V3Combine.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Common.cpp -o V3Common.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Coverage.cpp -o V3Coverage.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dead.cpp -o V3Dead.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Depth.cpp -o V3Depth.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DepthBlock.cpp -o V3DepthBlock.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Delayed.cpp -o V3Delayed.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseImp.cpp -o V3ParseImp.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/flex --version flex 2.6.4 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Descope.cpp -o V3Descope.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dfg.cpp -o V3Dfg.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3PreShell.cpp -o V3PreShell.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDecomposition.cpp -o V3DfgDecomposition.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3String.cpp -o V3String.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgOptimizer.cpp -o V3DfgOptimizer.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgAstToDfg.cpp -o V3DfgAstToDfg.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPasses.cpp -o V3DfgPasses.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDfgToAst.cpp -o V3DfgDfgToAst.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3ThreadPool.cpp -o V3ThreadPool.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DupFinder.cpp -o V3DupFinder.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMain.cpp -o V3EmitCMain.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../V3Waiver.cpp -o V3Waiver.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPeephole.cpp -o V3DfgPeephole.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMake.cpp -o V3EmitCMake.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitMk.cpp -o V3EmitMk.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c ../Verilator.cpp -o Verilator.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitXml.cpp -o V3EmitXml.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCModel.cpp -o V3EmitCModel.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Expand.cpp -o V3Expand.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Force.cpp -o V3Force.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstMT.h -o V3PchAstMT.h.gch make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Fork.cpp -o V3Fork.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3HierBlock.cpp -o V3HierBlock.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Gate.cpp -o V3Gate.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inline.cpp -o V3Inline.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3InstrCount.cpp -o V3InstrCount.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Interface.cpp -o V3Interface.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inst.cpp -o V3Inst.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -x c++-header ../V3PchAstNoMT.h -o V3PchAstNoMT.h.gch make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Life.cpp -o V3Life.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LifePost.cpp -o V3LifePost.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkInc.cpp -o V3LinkInc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkCells.cpp -o V3LinkCells.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLValue.cpp -o V3LinkLValue.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkJump.cpp -o V3LinkJump.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLevel.cpp -o V3LinkLevel.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkParse.cpp -o V3LinkParse.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkResolve.cpp -o V3LinkResolve.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Localize.cpp -o V3Localize.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkDot.cpp -o V3LinkDot.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3MergeCond.cpp -o V3MergeCond.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/python3 ../flexfix V3Lexer V3Lexer.yy.cpp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/python3 ../flexfix V3PreLex V3PreLex.yy.cpp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Name.cpp -o V3Name.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Ast.cpp -o V3Ast.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Order.cpp -o V3Order.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Param.cpp -o V3Param.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -c V3Const__gen.cpp -o V3Const__gen.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Premit.cpp -o V3Premit.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ProtectLib.cpp -o V3ProtectLib.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Broken.cpp -o V3Broken.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Partition.cpp -o V3Partition.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Reloop.cpp -o V3Reloop.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Randomize.cpp -o V3Randomize.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Config.cpp -o V3Config.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedAcyclic.cpp -o V3SchedAcyclic.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCBase.cpp -o V3EmitCBase.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedPartition.cpp -o V3SchedPartition.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Sched.cpp -o V3Sched.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCConstPool.cpp -o V3EmitCConstPool.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedReplicate.cpp -o V3SchedReplicate.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedVirtIface.cpp -o V3SchedVirtIface.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedTiming.cpp -o V3SchedTiming.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3AstNodes.cpp -o V3AstNodes.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scoreboard.cpp -o V3Scoreboard.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scope.cpp -o V3Scope.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCFunc.cpp -o V3EmitCFunc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Slice.cpp -o V3Slice.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitAs.cpp -o V3SplitAs.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCHeaders.cpp -o V3EmitCHeaders.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCInlines.cpp -o V3EmitCInlines.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Split.cpp -o V3Split.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCPch.cpp -o V3EmitCPch.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Subst.cpp -o V3Subst.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitV.cpp -o V3EmitV.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitVar.cpp -o V3SplitVar.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TSP.cpp -o V3TSP.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3File.cpp -o V3File.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Table.cpp -o V3Table.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3EmitCImp.cpp -o V3EmitCImp.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Global.cpp -o V3Global.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Task.cpp -o V3Task.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Timing.cpp -o V3Timing.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Hasher.cpp -o V3Hasher.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Number.cpp -o V3Number.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Trace.cpp -o V3Trace.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Stats.cpp -o V3Stats.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TraceDecl.cpp -o V3TraceDecl.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3StatsReport.cpp -o V3StatsReport.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Undriven.cpp -o V3Undriven.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Tristate.cpp -o V3Tristate.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Active.cpp -o V3Active.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unknown.cpp -o V3Unknown.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ActiveTop.cpp -o V3ActiveTop.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstMT.h -c ../V3Options.cpp -o V3Options.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unroll.cpp -o V3Unroll.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3VariableOrder.cpp -o V3VariableOrder.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Assert.cpp -o V3Assert.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3AssertPre.cpp -o V3AssertPre.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthCommit.cpp -o V3WidthCommit.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Branch.cpp -o V3Branch.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Begin.cpp -o V3Begin.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthSel.cpp -o V3WidthSel.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CUse.cpp -o V3CUse.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CCtors.cpp -o V3CCtors.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Cast.cpp -o V3Cast.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Case.cpp -o V3Case.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Class.cpp -o V3Class.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clean.cpp -o V3Clean.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Clock.cpp -o V3Clock.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Width.cpp -o V3Width.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Combine.cpp -o V3Combine.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Common.cpp -o V3Common.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3CoverageJoin.cpp -o V3CoverageJoin.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Coverage.cpp -o V3Coverage.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dead.cpp -o V3Dead.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Depth.cpp -o V3Depth.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DepthBlock.cpp -o V3DepthBlock.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Delayed.cpp -o V3Delayed.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Descope.cpp -o V3Descope.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Dfg.cpp -o V3Dfg.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDecomposition.cpp -o V3DfgDecomposition.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgOptimizer.cpp -o V3DfgOptimizer.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgAstToDfg.cpp -o V3DfgAstToDfg.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPasses.cpp -o V3DfgPasses.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgDfgToAst.cpp -o V3DfgDfgToAst.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DupFinder.cpp -o V3DupFinder.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMain.cpp -o V3EmitCMain.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3DfgPeephole.cpp -o V3DfgPeephole.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCMake.cpp -o V3EmitCMake.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitMk.cpp -o V3EmitMk.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitXml.cpp -o V3EmitXml.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCModel.cpp -o V3EmitCModel.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Expand.cpp -o V3Expand.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Force.cpp -o V3Force.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3EmitCSyms.cpp -o V3EmitCSyms.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Fork.cpp -o V3Fork.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Gate.cpp -o V3Gate.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3HierBlock.cpp -o V3HierBlock.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inline.cpp -o V3Inline.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3InstrCount.cpp -o V3InstrCount.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Interface.cpp -o V3Interface.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Life.cpp -o V3Life.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LifePost.cpp -o V3LifePost.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Inst.cpp -o V3Inst.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkInc.cpp -o V3LinkInc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkCells.cpp -o V3LinkCells.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkJump.cpp -o V3LinkJump.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLValue.cpp -o V3LinkLValue.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkLevel.cpp -o V3LinkLevel.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkResolve.cpp -o V3LinkResolve.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkParse.cpp -o V3LinkParse.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Localize.cpp -o V3Localize.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3MergeCond.cpp -o V3MergeCond.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3LinkDot.cpp -o V3LinkDot.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Name.cpp -o V3Name.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Order.cpp -o V3Order.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Premit.cpp -o V3Premit.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Param.cpp -o V3Param.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3ProtectLib.cpp -o V3ProtectLib.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Partition.cpp -o V3Partition.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Randomize.cpp -o V3Randomize.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Reloop.cpp -o V3Reloop.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedAcyclic.cpp -o V3SchedAcyclic.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedPartition.cpp -o V3SchedPartition.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedReplicate.cpp -o V3SchedReplicate.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedTiming.cpp -o V3SchedTiming.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SchedVirtIface.cpp -o V3SchedVirtIface.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Sched.cpp -o V3Sched.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scoreboard.cpp -o V3Scoreboard.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Scope.cpp -o V3Scope.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Slice.cpp -o V3Slice.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitAs.cpp -o V3SplitAs.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Split.cpp -o V3Split.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Subst.cpp -o V3Subst.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TSP.cpp -o V3TSP.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3SplitVar.cpp -o V3SplitVar.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Table.cpp -o V3Table.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Trace.cpp -o V3Trace.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Timing.cpp -o V3Timing.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Task.cpp -o V3Task.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3TraceDecl.cpp -o V3TraceDecl.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Undriven.cpp -o V3Undriven.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unknown.cpp -o V3Unknown.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Tristate.cpp -o V3Tristate.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3VariableOrder.cpp -o V3VariableOrder.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Unroll.cpp -o V3Unroll.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthCommit.cpp -o V3WidthCommit.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3WidthSel.cpp -o V3WidthSel.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3ParseLex.cpp -o V3ParseLex.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -Wno-char-subscripts -Wno-unused -c ../V3PreProc.cpp -o V3PreProc.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/share/verilator\" -include V3PchAstNoMT.h -c ../V3Width.cpp -o V3Width.o make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Linking ../../bin/verilator_bin_dbg... g++ -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -gz -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_bin_dbg V3Const__gen.o V3Error.o V3FileLine.o V3Graph.o V3GraphAcyc.o V3GraphAlg.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3OptionParser.o V3Os.o V3ParseGrammar.o V3ParseImp.o V3ParseLex.o V3PreProc.o V3PreShell.o V3String.o V3ThreadPool.o V3Waiver.o Verilator.o V3Ast.o V3AstNodes.o V3Broken.o V3Config.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCHeaders.o V3EmitCImp.o V3EmitCInlines.o V3EmitCPch.o V3EmitV.o V3File.o V3Global.o V3Hasher.o V3Number.o V3Options.o V3Stats.o V3StatsReport.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Begin.o V3Branch.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Common.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3Dfg.o V3DfgAstToDfg.o V3DfgDecomposition.o V3DfgDfgToAst.o V3DfgOptimizer.o V3DfgPasses.o V3DfgPeephole.o V3DupFinder.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitXml.o V3Expand.o V3Force.o V3Fork.o V3Gate.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Interface.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkInc.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Order.o V3Param.o V3Partition.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Sched.o V3SchedAcyclic.o V3SchedPartition.o V3SchedReplicate.o V3SchedTiming.o V3SchedVirtIface.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Subst.o V3TSP.o V3Table.o V3Task.o V3Timing.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3VariableOrder.o V3Width.o V3WidthCommit.o V3WidthSel.o -lpthread -lm make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' V3Lexer_pregen.yy.cpp:235:8: warning: type 'struct yy_buffer_state' violates the C++ One Definition Rule [-Wodr] 235 | #define YY_STRUCT_YY_BUFFER_STATE | ^ V3PreLex_pregen.yy.cpp:487:8: note: a different type is defined in another translation unit 487 | #define YY_STRUCT_YY_BUFFER_STATE | ^ V3Lexer_pregen.yy.cpp:241:18: note: the first difference of corresponding definitions is field 'yy_input_file' 241 | /* %if-c++-only */ | ^ V3PreLex_pregen.yy.cpp:490:8: note: a field of same name but different type is defined in another translation unit 490 | /* %if-c-only */ | ^ V3Lexer_pregen.yy.cpp:235:8: note: type name 'std::basic_streambuf >' should match type name '_IO_FILE' 235 | #define YY_STRUCT_YY_BUFFER_STATE | ^ lto-wrapper: warning: using serial compilation of 128 LTRANS jobs make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' Linking ../../bin/verilator_bin... g++ -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -static-libgcc -Xlinker -gc-sections -o ../../bin/verilator_bin V3Const__gen.o V3Error.o V3FileLine.o V3Graph.o V3GraphAcyc.o V3GraphAlg.o V3GraphPathChecker.o V3GraphTest.o V3Hash.o V3OptionParser.o V3Os.o V3ParseGrammar.o V3ParseImp.o V3ParseLex.o V3PreProc.o V3PreShell.o V3String.o V3ThreadPool.o V3Waiver.o Verilator.o V3Ast.o V3AstNodes.o V3Broken.o V3Config.o V3EmitCBase.o V3EmitCConstPool.o V3EmitCFunc.o V3EmitCHeaders.o V3EmitCImp.o V3EmitCInlines.o V3EmitCPch.o V3EmitV.o V3File.o V3Global.o V3Hasher.o V3Number.o V3Options.o V3Stats.o V3StatsReport.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Begin.o V3Branch.o V3CCtors.o V3CUse.o V3Case.o V3Cast.o V3Class.o V3Clean.o V3Clock.o V3Combine.o V3Common.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3Dfg.o V3DfgAstToDfg.o V3DfgDecomposition.o V3DfgDfgToAst.o V3DfgOptimizer.o V3DfgPasses.o V3DfgPeephole.o V3DupFinder.o V3EmitCMain.o V3EmitCMake.o V3EmitCModel.o V3EmitCSyms.o V3EmitMk.o V3EmitXml.o V3Expand.o V3Force.o V3Fork.o V3Gate.o V3HierBlock.o V3Inline.o V3Inst.o V3InstrCount.o V3Interface.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkInc.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3MergeCond.o V3Name.o V3Order.o V3Param.o V3Partition.o V3Premit.o V3ProtectLib.o V3Randomize.o V3Reloop.o V3Sched.o V3SchedAcyclic.o V3SchedPartition.o V3SchedReplicate.o V3SchedTiming.o V3SchedVirtIface.o V3Scope.o V3Scoreboard.o V3Slice.o V3Split.o V3SplitAs.o V3SplitVar.o V3Subst.o V3TSP.o V3Table.o V3Task.o V3Timing.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3VariableOrder.o V3Width.o V3WidthCommit.o V3WidthSel.o -lpthread -lm make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' V3Lexer_pregen.yy.cpp:235:8: warning: type 'struct yy_buffer_state' violates the C++ One Definition Rule [-Wodr] 235 | #define YY_STRUCT_YY_BUFFER_STATE | ^ V3PreLex_pregen.yy.cpp:487:8: note: a different type is defined in another translation unit 487 | #define YY_STRUCT_YY_BUFFER_STATE | ^ V3Lexer_pregen.yy.cpp:241:18: note: the first difference of corresponding definitions is field 'yy_input_file' 241 | /* %if-c++-only */ | ^ V3PreLex_pregen.yy.cpp:490:8: note: a field of same name but different type is defined in another translation unit 490 | /* %if-c-only */ | ^ V3Lexer_pregen.yy.cpp:235:8: note: type name 'std::basic_streambuf >' should match type name '_IO_FILE' 235 | #define YY_STRUCT_YY_BUFFER_STATE | ^ lto-wrapper: warning: using serial compilation of 128 LTRANS jobs Build complete! Now type 'make test' to test. + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.0xvd3Q + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64 ++ dirname /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64 + cd verilator-5.020 + /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64 'INSTALL=/usr/bin/install -p' ------------------------------------------------------------ making verilator in src /usr/bin/make -C src make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/src' /usr/bin/make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Compile flags: g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Nothing to be done for 'serial_vlcov'. make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Compile flags: g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' /usr/bin/make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' /usr/bin/make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' Compile flags: g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src' /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin ( cd ./bin ; /usr/bin/install -p verilator /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin/verilator ) ( cd ./bin ; /usr/bin/install -p verilator_coverage /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin/verilator_coverage ) ( cd ./bin ; /usr/bin/install -p verilator_gantt /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin/verilator_gantt ) ( cd ./bin ; /usr/bin/install -p verilator_profcfunc /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin/verilator_profcfunc ) ( cd bin ; /usr/bin/install -p verilator_bin /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin/verilator_bin ) ( cd bin ; /usr/bin/install -p verilator_bin_dbg /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin/verilator_bin_dbg ) ( cd bin ; /usr/bin/install -p verilator_coverage_bin_dbg /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin/verilator_coverage_bin_dbg ) /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/bin mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/bin ( cd ./bin ; /usr/bin/install -p verilator_includer /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/bin/verilator_includer ) ( cd ./bin ; /usr/bin/install -p verilator_ccache_report /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/bin/verilator_ccache_report ) ( cd ./bin ; /usr/bin/install -p verilator_difftree /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/bin/verilator_difftree ) /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/man/man1 mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/man mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/man/man1 for p in verilator.1 verilator_coverage.1 verilator_gantt.1 verilator_profcfunc.1 ; do \ /usr/bin/install -p -m 644 $p /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/man/man1/$p; \ done /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/include/gtkwave mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/include mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/include/gtkwave /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/include/vltstd mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/include/vltstd for p in include/verilated_config.h include/verilated.mk ; do \ /usr/bin/install -p -m 644 $p /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/$p; \ done cd . \ ; for p in include/*.[chv]* include/*.sv include/gtkwave/*.[chv]* include/vltstd/*.[chv]* ; do \ /usr/bin/install -p -m 644 $p /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/$p; \ done /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/make_hello_binary mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/make_hello_binary /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/make_hello_c mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/make_hello_c /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/make_hello_sc mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/make_hello_sc /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/make_tracing_c mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/make_tracing_c /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/make_tracing_sc mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/make_tracing_sc /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/make_protect_lib mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/make_protect_lib /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/cmake_hello_c mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/cmake_hello_c /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/cmake_hello_sc mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/cmake_hello_sc /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/cmake_tracing_c mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/cmake_tracing_c /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/cmake_tracing_sc mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/cmake_tracing_sc /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/cmake_protect_lib mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/cmake_protect_lib /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/xml_py mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples/xml_py cd . \ ; for p in examples/*/*.[chv]* examples/*/CMakeLists.txt examples/*/Makefile* examples/*/vl_* ; do \ /usr/bin/install -p -m 644 $p /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/$p; \ done /bin/sh ./src/mkinstalldirs /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/pkgconfig mkdir /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/pkgconfig /usr/bin/install -p -m 644 verilator.pc /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/pkgconfig /usr/bin/install -p -m 644 verilator-config.cmake /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator /usr/bin/install -p -m 644 verilator-config-version.cmake /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator Installed binaries to /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin/verilator Installed man to /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/man/man1 Installed examples to /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples For documentation see 'man verilator' or 'verilator --help' For forums and to report bugs see https://verilator.org + rm -rf /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/examples + rm -rf /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/verilator/src + rm -rf /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin/verilator_includer + mkdir -p /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/lib64/pkgconfig + mv /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/pkgconfig/verilator.pc /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/lib64/pkgconfig + /usr/bin/find-debuginfo -j4 --strict-build-id -m -i --build-id-seed 5.020-1.el9 --unique-debug-suffix -5.020-1.el9.x86_64 --unique-debug-src-base verilator-5.020-1.el9.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 --remove-section .gnu.build.attributes -S debugsourcefiles.list /builddir/build/BUILD/verilator-5.020 extracting debug info from /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin/verilator_bin_dbg extracting debug info from /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin/verilator_coverage_bin_dbg extracting debug info from /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin/verilator_bin /usr/bin/debugedit: /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin/verilator_coverage_bin_dbg: DWARF version 0 unhandled /usr/bin/debugedit: /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/bin/verilator_bin_dbg: DWARF version 0 unhandled dwz: ./usr/bin/verilator_bin_dbg-5.020-1.el9.x86_64.debug: Found compressed .debug_aranges section, not attempting dwz compression dwz: ./usr/bin/verilator_coverage_bin_dbg-5.020-1.el9.x86_64.debug: Found compressed .debug_aranges section, not attempting dwz compression dwz: Too few files for multifile optimization original debug info size: 238976kB, size after compression: 219668kB /usr/bin/sepdebugcrcfix: Updated 1 CRC32s, 2 CRC32s did match. cpio: src/obj_opt/verilog.c: Cannot stat: No such file or directory cpio: src/obj_opt/verilog.y: Cannot stat: No such file or directory 13106 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 + /usr/lib/rpm/brp-python-hardlink + /usr/lib/rpm/redhat/brp-mangle-shebangs mangling shebang in /usr/share/verilator/bin/verilator_difftree from /usr/bin/env python3 to #!/usr/bin/python3 mangling shebang in /usr/share/verilator/bin/verilator_ccache_report from /usr/bin/env python3 to #!/usr/bin/python3 mangling shebang in /usr/share/verilator/bin/verilator_includer from /usr/bin/env python3 to #!/usr/bin/python3 mangling shebang in /usr/bin/verilator_profcfunc from /usr/bin/env python3 to #!/usr/bin/python3 mangling shebang in /usr/bin/verilator_gantt from /usr/bin/env python3 to #!/usr/bin/python3 mangling shebang in /usr/bin/verilator_coverage from /usr/bin/env perl to #!/usr/bin/perl mangling shebang in /usr/bin/verilator from /usr/bin/env perl to #!/usr/bin/perl Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.HY96oJ + umask 022 + cd /builddir/build/BUILD + cd verilator-5.020 + make test ------------------------------------------------------------ making verilator in src make -C src make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/src' make -C obj_dbg -j 1 TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Compile flags: g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make[2]: Nothing to be done for 'serial_vlcov'. make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make -C obj_dbg TGT=../../bin/verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' Compile flags: g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Og -ggdb -gz -DVL_DEBUG -D_GLIBCXX_DEBUG -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_dbg' make -C obj_opt -j 1 TGT=../../bin/verilator_bin -f ../Makefile_obj serial make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[2]: Nothing to be done for 'serial'. make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make -C obj_opt TGT=../../bin/verilator_bin -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' Compile flags: g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64-v2 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -O3 -DVERILATOR_INTERNAL_ -MMD -I. -I.. -I.. -I../../include -I../../include -MP -faligned-new -Wno-unused-parameter -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/share/verilator" make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src/obj_opt' make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/src' test_regress/t/t_a1_first_cc.pl ====================================================================== dist/t_a1_first_cc: ================================================== -Skip: dist/t_a1_first_cc: scenario 'dist' not enabled for test dist/t_a1_first_cc: -Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Time 0:00 ====================================================================== vlt/t_a1_first_cc: ================================================== perl ../bin/verilator --debug --debugi 0 --gdbbt --no-dump-tree -V warning: File "/builddir/build/BUILD/verilator-5.020/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:$datadir/auto-load". No stack. warning: File "/builddir/build/BUILD/verilator-5.020/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:$datadir/auto-load". To enable execution of this file add add-auto-load-safe-path /builddir/build/BUILD/verilator-5.020/test_regress/.gdbinit line to your configuration file "/builddir/.gdbinit". To completely disable this security protection add set auto-load safe-path / line to your configuration file "/builddir/.gdbinit". For more information about this security protection see the "Auto-loading safe path" section in the GDB manual. E.g., run from the shell: info "(gdb)Auto-loading safe path" [Thread debugging using libthread_db enabled] Using host libthread_db library "/lib64/libthread_db.so.1". Starting Verilator 5.020 2024-01-01 rev UNKNOWN.REV Starting Verilator 5.020 2024-01-01 rev UNKNOWN.REV Verilator 5.020 2024-01-01 rev UNKNOWN.REV Copyright 2003-2024 by Wilson Snyder. Verilator is free software; you can redistribute it and/or modify the Verilator internals under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. See https://verilator.org for documentation Summary of configuration: Compiled in defaults if not in environment: SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_ROOT = /usr/share/verilator SystemC system-wide = 0 Environment: MAKE = make PERL = SYSTEMC = SYSTEMC_ARCH = SYSTEMC_INCLUDE = SYSTEMC_LIBDIR = VERILATOR_BIN = VERILATOR_ROOT = /builddir/build/BUILD/verilator-5.020/test_regress/.. Supported features (compiled-in or forced by environment): COROUTINES = 1 SYSTEMC = [Inferior 1 (process 4825) exited normally] No stack. perl /builddir/build/BUILD/verilator-5.020/test_regress/../bin/verilator --prefix Vt_a1_first_cc ../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp --exe --make gmake --x-assign unique -cc -Mdir obj_vlt/t_a1_first_cc --fdedup --debug-check --comp-limit-members 10 --debug --debugi 0 --gdbbt --no-dump-tree --trace --clk clk -f input.vc +define+TEST_OBJ_DIR=obj_vlt/t_a1_first_cc +define+TEST_DUMPFILE=obj_vlt/t_a1_first_cc/simx.vcd t/t_a1_first_cc.v > obj_vlt/t_a1_first_cc/vlt_compile.log warning: File "/builddir/build/BUILD/verilator-5.020/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:$datadir/auto-load". No stack. warning: File "/builddir/build/BUILD/verilator-5.020/test_regress/.gdbinit" auto-loading has been declined by your `auto-load safe-path' set to "$debugdir:$datadir/auto-load". To enable execution of this file add add-auto-load-safe-path /builddir/build/BUILD/verilator-5.020/test_regress/.gdbinit line to your configuration file "/builddir/.gdbinit". To completely disable this security protection add set auto-load safe-path / line to your configuration file "/builddir/.gdbinit". For more information about this security protection see the "Auto-loading safe path" section in the GDB manual. E.g., run from the shell: info "(gdb)Auto-loading safe path" [Thread debugging using libthread_db enabled] Using host libthread_db library "/lib64/libthread_db.so.1". Starting Verilator 5.020 2024-01-01 rev UNKNOWN.REV Starting Verilator 5.020 2024-01-01 rev UNKNOWN.REV [Inferior 1 (process 4850) exited normally] No stack. make -C obj_vlt/t_a1_first_cc -f /builddir/build/BUILD/verilator-5.020/test_regress/Makefile_obj --no-print-directory VM_PREFIX=Vt_a1_first_cc TEST_OBJ_DIR=obj_vlt/t_a1_first_cc CPPFLAGS_DRIVER=-DT_A1_FIRST_CC OPT_FAST=-O0 OPT_GLOBAL=-O0 Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_gcc.log driver: Entering directory '/builddir/build/BUILD/verilator-5.020/test_regress/obj_vlt/t_a1_first_cc' g++ -I. -MMD -I/builddir/build/BUILD/verilator-5.020/test_regress/../include -I/builddir/build/BUILD/verilator-5.020/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -O0 -c -o Vt_a1_first_cc__main.o ../../obj_vlt/t_a1_first_cc/Vt_a1_first_cc__main.cpp g++ -O0 -I. -MMD -I/builddir/build/BUILD/verilator-5.020/test_regress/../include -I/builddir/build/BUILD/verilator-5.020/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -c -o verilated.o /builddir/build/BUILD/verilator-5.020/test_regress/../include/verilated.cpp g++ -O0 -I. -MMD -I/builddir/build/BUILD/verilator-5.020/test_regress/../include -I/builddir/build/BUILD/verilator-5.020/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -c -o verilated_vcd_c.o /builddir/build/BUILD/verilator-5.020/test_regress/../include/verilated_vcd_c.cpp g++ -O0 -I. -MMD -I/builddir/build/BUILD/verilator-5.020/test_regress/../include -I/builddir/build/BUILD/verilator-5.020/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -c -o verilated_threads.o /builddir/build/BUILD/verilator-5.020/test_regress/../include/verilated_threads.cpp /usr/bin/python3 /builddir/build/BUILD/verilator-5.020/test_regress/../bin/verilator_includer -DVL_INCLUDE_OPT=include Vt_a1_first_cc.cpp Vt_a1_first_cc___024root__DepSet_hbad1107f__0.cpp Vt_a1_first_cc___024root__DepSet_he3a53103__0.cpp Vt_a1_first_cc__Trace__0.cpp Vt_a1_first_cc___024root__Slow.cpp Vt_a1_first_cc___024root__DepSet_he3a53103__0__Slow.cpp Vt_a1_first_cc__Syms.cpp Vt_a1_first_cc__Trace__0__Slow.cpp Vt_a1_first_cc__TraceDecls__0__Slow.cpp > Vt_a1_first_cc__ALL.cpp g++ -O0 -I. -MMD -I/builddir/build/BUILD/verilator-5.020/test_regress/../include -I/builddir/build/BUILD/verilator-5.020/test_regress/../include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVERILATOR=1 -DVL_DEBUG=1 -DTEST_OBJ_DIR=obj_vlt/t_a1_first_cc -DVM_PREFIX=Vt_a1_first_cc -DVM_PREFIX_INCLUDE="" -DVM_PREFIX_ROOT_INCLUDE="" -DT_A1_FIRST_CC -DVL_LOCK_SPINS=10000 -c -o Vt_a1_first_cc__ALL.o Vt_a1_first_cc__ALL.cpp echo "" > Vt_a1_first_cc__ALL.verilator_deplist.tmp Archive ar -rcs Vt_a1_first_cc__ALL.a Vt_a1_first_cc__ALL.o g++ Vt_a1_first_cc__main.o verilated.o verilated_vcd_c.o verilated_threads.o Vt_a1_first_cc__ALL.a -pthread -lpthread -o Vt_a1_first_cc rm Vt_a1_first_cc__ALL.verilator_deplist.tmp driver: Leaving directory '/builddir/build/BUILD/verilator-5.020/test_regress/obj_vlt/t_a1_first_cc' obj_vlt/t_a1_first_cc/Vt_a1_first_cc > obj_vlt/t_a1_first_cc/vlt_sim.log *-* All Finished *-* - t/t_a1_first_cc.v:17: Verilog $finish vlt/t_a1_first_cc: Self PASSED ==SUMMARY: Passed 1 Failed 0 Time 0:12 ====================================================================== TESTS DONE, PASSED: Passed 1 Failed 0 Time 0:12 ==SUMMARY: Passed 1 Failed 0 Time 0:12 test_regress/t/t_a2_first_sc.pl ====================================================================== dist/t_a2_first_sc: ================================================== -Skip: dist/t_a2_first_sc: scenario 'dist' not enabled for test dist/t_a2_first_sc: -Skip: Skip: scenario 'dist' not enabled for test ==SUMMARY: Passed 0 Failed 0 Time 0:00 ====================================================================== vlt/t_a2_first_sc: ================================================== -Skip: vlt/t_a2_first_sc: Test requires SystemC; ignore error since not installed vlt/t_a2_first_sc: -Skip: Skip: Test requires SystemC; ignore error since not installed ==SUMMARY: Passed 0 Failed 0 Skipped 1 Time 0:00 ====================================================================== #vlt/t_a2_first_sc: -Skip: Skip: Test requires SystemC; ignore error since not installed TESTS DONE, PASSED w/SKIPS: Passed 0 Failed 0 Skipped 1 Time 0:00 ==SUMMARY: Passed 0 Failed 0 Skipped 1 Time 0:00 for p in examples/make_hello_c examples/make_hello_sc examples/cmake_hello_c examples/cmake_hello_sc examples/cmake_protect_lib examples/cmake_tracing_c examples/cmake_tracing_sc examples/make_hello_binary examples/make_protect_lib examples/make_tracing_c examples/make_tracing_sc examples/xml_py ; do \ make -C $p VERILATOR_ROOT=`pwd` || exit 10; \ done make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_c' -- Verilator hello-world simple example -- VERILATE & BUILD -------- /builddir/build/BUILD/verilator-5.020/bin/verilator -cc --exe --build -j top.v sim_main.cpp make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_c/obj_dir' g++ -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Os -c -o sim_main.o ../sim_main.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -c -o verilated.o /builddir/build/BUILD/verilator-5.020/include/verilated.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -c -o verilated_threads.o /builddir/build/BUILD/verilator-5.020/include/verilated_threads.cpp /usr/bin/python3 /builddir/build/BUILD/verilator-5.020/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -c -o Vtop__ALL.o Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ sim_main.o verilated.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_c/obj_dir' -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:12: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/make_tracing_c. Note: See also https://verilator.org/guide/latest/examples.html make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_c' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_sc' %Skip: SYSTEMC_INCLUDE not in environment (If you have SystemC see the README, and rebuild Verilator) make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_sc' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_hello_c' which: no cmake in (/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/sbin) %Skip: CMake has not been found make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_hello_c' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_hello_sc' which: no cmake in (/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/sbin) %Skip: CMake has not been found make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_hello_sc' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_protect_lib' which: no cmake in (/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/sbin) %Skip: CMake has not been found make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_protect_lib' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_tracing_c' which: no cmake in (/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/sbin) %Skip: CMake has not been found make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_tracing_c' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_tracing_sc' which: no cmake in (/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/sbin) %Skip: CMake has not been found make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/cmake_tracing_sc' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_binary' -- Verilator hello-world simple binary example -- VERILATE & BUILD -------- /builddir/build/BUILD/verilator-5.020/bin/verilator --binary -j 0 top.v make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_binary/obj_dir' g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated.o /builddir/build/BUILD/verilator-5.020/include/verilated.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated_threads.o /builddir/build/BUILD/verilator-5.020/include/verilated_threads.cpp /usr/bin/python3 /builddir/build/BUILD/verilator-5.020/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__main.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp > Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o Vtop__ALL.o Vtop__ALL.cpp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ verilated.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_binary/obj_dir' -- RUN --------------------- obj_dir/Vtop Hello World! - top.v:12: Verilog $finish -- DONE -------------------- Note: Once this example is understood, see examples/make_hello_c. Note: See also https://verilator.org/guide/latest/examples.html make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_hello_binary' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_protect_lib' -- Verilator --protect-lib example -_-------------------------- -- VERILATE secret module ------------------------------------- --protect-lib will produce both a static and shared library In this example the static library is used, but some simulators may require the shared library. --------------------------------------------------------------- /builddir/build/BUILD/verilator-5.020/bin/verilator -cc -x-assign fast -Wall -CFLAGS -DVL_TIME_CONTEXT --protect-lib verilated_secret -Mdir obj_dir_secret/ secret_impl.v -- COMPILE protected library ---------------------------------- This builds verilated_secret.sv, libverilated_secret.a and libverilated_secret.so which can be distributed apart from the source --------------------------------------------------------------- make -j 4 -C obj_dir_secret -f Vsecret_impl.mk make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_protect_lib/obj_dir_secret' /usr/bin/python3 /builddir/build/BUILD/verilator-5.020/bin/verilator_includer -DVL_INCLUDE_OPT=include Vsecret_impl.cpp Vsecret_impl_PSJ3B6__DepSet_h63c5885c__0.cpp Vsecret_impl_PSJ3B6__DepSet_h09c064b8__0.cpp Vsecret_impl_PSJ3B6__Slow.cpp Vsecret_impl_PSJ3B6__DepSet_h63c5885c__0__Slow.cpp Vsecret_impl_PSJ3B6__DepSet_h09c064b8__0__Slow.cpp Vsecret_impl_PST0AB.cpp > Vsecret_impl__ALL.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -c -o verilated.o /builddir/build/BUILD/verilator-5.020/include/verilated.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -c -o verilated_threads.o /builddir/build/BUILD/verilator-5.020/include/verilated_threads.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -c -o verilated_secret.o verilated_secret.cpp echo "" > libverilated_secret.verilator_deplist.tmp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -c -o Vsecret_impl__ALL.o Vsecret_impl__ALL.cpp Archive ar -rcs libverilated_secret.a Vsecret_impl__ALL.o verilated.o verilated_threads.o verilated_secret.o g++ -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=0 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=0 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -fPIC -DVL_TIME_CONTEXT -Os -shared -o libverilated_secret.so Vsecret_impl__ALL.o verilated.o verilated_threads.o verilated_secret.o rm libverilated_secret.verilator_deplist.tmp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_protect_lib/obj_dir_secret' -- VERILATE top module ---------------------------------------- Use the SystemVerilog wrapper (verilated_secret.sv) and the library (libverilated_secret.a) generated from the previous step --------------------------------------------------------------- /builddir/build/BUILD/verilator-5.020/bin/verilator -cc -x-assign fast -Wall -CFLAGS -DVL_TIME_CONTEXT --trace --exe -LDFLAGS '../obj_dir_secret/libverilated_secret.a' top.v obj_dir_secret/verilated_secret.sv sim_main.cpp -- COMPILE entire design -------------------------------------- make -j 4 -C obj_dir -f Vtop.mk make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_protect_lib/obj_dir' g++ -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -Os -c -o sim_main.o ../sim_main.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated.o /builddir/build/BUILD/verilator-5.020/include/verilated.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated_dpi.o /builddir/build/BUILD/verilator-5.020/include/verilated_dpi.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated_vcd_c.o /builddir/build/BUILD/verilator-5.020/include/verilated_vcd_c.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o verilated_threads.o /builddir/build/BUILD/verilator-5.020/include/verilated_threads.cpp /usr/bin/python3 /builddir/build/BUILD/verilator-5.020/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__Dpi.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h84412442__0__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp Vtop__TraceDecls__0__Slow.cpp > Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -DVL_TIME_CONTEXT -c -o Vtop__ALL.o Vtop__ALL.cpp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ sim_main.o verilated.o verilated_dpi.o verilated_vcd_c.o verilated_threads.o Vtop__ALL.a ../obj_dir_secret/libverilated_secret.a -pthread -lpthread -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_protect_lib/obj_dir' -- RUN -------------------------------------------------------- obj_dir/Vtop +trace Enabling waves into logs/vlt_dump.vcd... [1] TOP.top.secret.secret_impl: initialized [3] cyc=0 a=0 b=0 x=2756837218 [5] cyc=1 a=5 b=7 x=9 [7] cyc=2 a=6 b=2 x=21 [9] cyc=3 a=1 b=9 x=17 [11] cyc=4 a=1 b=9 x=9 Done - top.v:32: Verilog $finish -- DONE ------------------------------------------------------- To see waveforms, open logs/vlt_dump.vcd in a waveform viewer make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_protect_lib' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_tracing_c' -- Verilator tracing example -- VERILATE ---------------- /builddir/build/BUILD/verilator-5.020/bin/verilator -cc --exe -x-assign fast -Wall --trace --assert --coverage -f input.vc top.v sim_main.cpp -- BUILD ------------------- make -j -C obj_dir -f ../Makefile_obj make[2]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_tracing_c/obj_dir' g++ -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -Os -fstrict-aliasing -c -o sim_main.o ../sim_main.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o verilated.o /builddir/build/BUILD/verilator-5.020/include/verilated.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o verilated_cov.o /builddir/build/BUILD/verilator-5.020/include/verilated_cov.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o verilated_vcd_c.o /builddir/build/BUILD/verilator-5.020/include/verilated_vcd_c.cpp g++ -Os -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o verilated_threads.o /builddir/build/BUILD/verilator-5.020/include/verilated_threads.cpp /usr/bin/python3 /builddir/build/BUILD/verilator-5.020/bin/verilator_includer -DVL_INCLUDE_OPT=include Vtop.cpp Vtop___024root__DepSet_h84412442__0.cpp Vtop___024root__DepSet_heccd7ead__0.cpp Vtop__Trace__0.cpp Vtop___024root__Slow.cpp Vtop___024root__DepSet_h84412442__0__Slow.cpp Vtop___024root__DepSet_heccd7ead__0__Slow.cpp Vtop__Syms.cpp Vtop__Trace__0__Slow.cpp Vtop__TraceDecls__0__Slow.cpp > Vtop__ALL.cpp echo "" > Vtop__ALL.verilator_deplist.tmp g++ -Os -fstrict-aliasing -I. -MMD -I/builddir/build/BUILD/verilator-5.020/include -I/builddir/build/BUILD/verilator-5.020/include/vltstd -DVM_COVERAGE=1 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-overloaded-virtual -Wno-shadow -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-parameter -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -MMD -MP -DVL_DEBUG=1 -c -o Vtop__ALL.o Vtop__ALL.cpp Archive ar -rcs Vtop__ALL.a Vtop__ALL.o g++ sim_main.o verilated.o verilated_cov.o verilated_vcd_c.o verilated_threads.o Vtop__ALL.a -pthread -lpthread -o Vtop rm Vtop__ALL.verilator_deplist.tmp make[2]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_tracing_c/obj_dir' -- RUN --------------------- obj_dir/Vtop +trace [1] Tracing to logs/vlt_dump.vcd... [1] Model running... [1] clk=1 rstl=1 iquad=1234 -> oquad=1235 owide=3_22222222_11111112 [2] clk=0 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [3] clk=1 rstl=0 iquad=1246 -> oquad=0 owide=0_00000000_00000000 [4] clk=0 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [5] clk=1 rstl=0 iquad=1258 -> oquad=0 owide=0_00000000_00000000 [6] clk=0 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [7] clk=1 rstl=0 iquad=126a -> oquad=0 owide=0_00000000_00000000 [8] clk=0 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [9] clk=1 rstl=0 iquad=127c -> oquad=0 owide=0_00000000_00000000 [10] clk=0 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [11] clk=1 rstl=1 iquad=128e -> oquad=128f owide=3_22222222_11111112 [12] clk=0 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [13] clk=1 rstl=1 iquad=12a0 -> oquad=12a1 owide=3_22222222_11111112 [14] clk=0 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [15] clk=1 rstl=1 iquad=12b2 -> oquad=12b3 owide=3_22222222_11111112 [16] clk=0 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 *-* All Finished *-* - sub.v:29: Verilog $finish [17] clk=1 rstl=1 iquad=12c4 -> oquad=12c5 owide=3_22222222_11111112 -- COVERAGE ---------------- /builddir/build/BUILD/verilator-5.020/bin/verilator_coverage --annotate logs/annotated logs/coverage.dat Total coverage (2/28) 7.00% See lines with '%00' in logs/annotated -- DONE -------------------- To see waveforms, open vlt_dump.vcd in a waveform viewer make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_tracing_c' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/make_tracing_sc' %Skip: SYSTEMC_INCLUDE not in environment (If you have SystemC see the README, and rebuild Verilator) make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/make_tracing_sc' make[1]: Entering directory '/builddir/build/BUILD/verilator-5.020/examples/xml_py' -- vl_file_copy example python3 vl_file_copy -odir copied top.v NOTE: vl_file_copy is only an example starting point for writing your own tool. -- vl_hier_graph example python3 vl_hier_graph -o graph.dot top.v NOTE: vl_hier_graph is only an example starting point for writing your own tool. Manually run: dot -Tpdf -o graph.pdf graph.dot make[1]: Leaving directory '/builddir/build/BUILD/verilator-5.020/examples/xml_py' Tests passed! Now type 'make install' to install. Or type 'make' inside an examples subdirectory. + RPM_EC=0 ++ jobs -p + exit 0 Processing files: verilator-5.020-1.el9.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.LKuSTN + umask 022 + cd /builddir/build/BUILD + cd verilator-5.020 + DOCDIR=/builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/doc/verilator + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/doc/verilator + cp -pr Changes /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/doc/verilator + cp -pr README.rst /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/doc/verilator + cp -pr docs/ /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/doc/verilator + cp -pr examples/ /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/doc/verilator + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.XxlDKV + umask 022 + cd /builddir/build/BUILD + cd verilator-5.020 + LICENSEDIR=/builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/licenses/verilator + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/licenses/verilator + cp -pr Artistic /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/licenses/verilator + cp -pr LICENSE /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64/usr/share/licenses/verilator + RPM_EC=0 ++ jobs -p + exit 0 Provides: pkgconfig(verilator) = 5.020 verilator = 5.020-1.el9 verilator(x86-64) = 5.020-1.el9 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/perl /usr/bin/pkg-config /usr/bin/python3 libc.so.6()(64bit) libc.so.6(GLIBC_2.14)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.33)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.7)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2.5)(64bit) libm.so.6(GLIBC_2.29)(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.11)(64bit) libstdc++.so.6(CXXABI_1.3.13)(64bit) libstdc++.so.6(CXXABI_1.3.2)(64bit) libstdc++.so.6(CXXABI_1.3.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.17)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.19)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.22)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) perl(:VERSION) >= 5.6.0 perl(Cwd) perl(FindBin) perl(Getopt::Long) perl(IO::File) perl(Pod::Usage) perl(strict) perl(vars) perl(warnings) rtld(GNU_HASH) Processing files: verilator-debugsource-5.020-1.el9.x86_64 Provides: verilator-debugsource = 5.020-1.el9 verilator-debugsource(x86-64) = 5.020-1.el9 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: verilator-debuginfo-5.020-1.el9.x86_64 Provides: debuginfo(build-id) = 93d22f3d6a70c095340a9d940aa3c0ee7fdfca61 debuginfo(build-id) = ab191ed5f7d2a04c7ca2487573d4e6a1758a87b1 debuginfo(build-id) = b734fab344f4fe42d422bf3636dbbbabfe3f1d3f verilator-debuginfo = 5.020-1.el9 verilator-debuginfo(x86-64) = 5.020-1.el9 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: verilator-debugsource(x86-64) = 5.020-1.el9 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64 Wrote: /builddir/build/RPMS/verilator-debugsource-5.020-1.el9.x86_64.rpm Wrote: /builddir/build/RPMS/verilator-5.020-1.el9.x86_64.rpm Wrote: /builddir/build/RPMS/verilator-debuginfo-5.020-1.el9.x86_64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.FkeYOb + umask 022 + cd /builddir/build/BUILD + cd verilator-5.020 + /usr/bin/rm -rf /builddir/build/BUILDROOT/verilator-5.020-1.el9.x86_64 + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild verilator-5.020-1.el9.src.rpm Finish: build phase for verilator-5.020-1.el9.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/rhel-9-x86_64-1714412470.954286/root/var/log/dnf.rpm.log /var/lib/mock/rhel-9-x86_64-1714412470.954286/root/var/log/dnf.librepo.log /var/lib/mock/rhel-9-x86_64-1714412470.954286/root/var/log/dnf.log INFO: Done(/var/lib/copr-rpmbuild/results/verilator-5.020-1.el9.src.rpm) Config(child) 10 minutes 49 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "verilator-debuginfo", "epoch": null, "version": "5.020", "release": "1.el9", "arch": "x86_64" }, { "name": "verilator-debugsource", "epoch": null, "version": "5.020", "release": "1.el9", "arch": "x86_64" }, { "name": "verilator", "epoch": null, "version": "5.020", "release": "1.el9", "arch": "x86_64" }, { "name": "verilator", "epoch": null, "version": "5.020", "release": "1.el9", "arch": "src" } ] } RPMResults finished